xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/LoadUnit.scala (revision 92b88f30156d46e844042eea94f7121557fd09a1)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.fu.PMPRespBundle
27import xiangshan.backend.fu.FuConfig.LduCfg
28import xiangshan.backend.rob.{DebugLsInfoBundle, RobPtr}
29import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput}
30import xiangshan.cache._
31import xiangshan.cache.dcache.ReplayCarry
32import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
33import xiangshan.mem.mdp._
34
35class LoadToLsqReplayIO(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
36  // mshr refill index
37  val missMSHRId = UInt(log2Up(cfg.nMissEntries).W)
38  // get full data from store queue and sbuffer
39  val canForwardFullData = Bool()
40  // wait for data from store inst's store queue index
41  val dataInvalidSqIdx = new SqPtr
42  // wait for address from store queue index
43  val addrInvalidSqIdx = new SqPtr
44  // replay carry
45  val replayCarry = new ReplayCarry
46  // data in last beat
47  val dataInLastBeat = Bool()
48  // replay cause
49  val cause = Vec(LoadReplayCauses.allCauses, Bool())
50  //
51  // performance debug information
52  val debug = new PerfDebugInfo
53
54  //
55  def tlbMiss       = cause(LoadReplayCauses.tlbMiss)
56  def waitStore     = cause(LoadReplayCauses.waitStore)
57  def schedError    = cause(LoadReplayCauses.schedError)
58  def rarReject     = cause(LoadReplayCauses.rarReject)
59  def rawReject     = cause(LoadReplayCauses.rawReject)
60  def dcacheMiss    = cause(LoadReplayCauses.dcacheMiss)
61  def bankConflict  = cause(LoadReplayCauses.bankConflict)
62  def dcacheReplay  = cause(LoadReplayCauses.dcacheReplay)
63  def forwardFail   = cause(LoadReplayCauses.forwardFail)
64
65  def forceReplay() = rarReject || rawReject || schedError || waitStore || tlbMiss
66  def needReplay()  = cause.asUInt.orR
67}
68
69class LoadToReplayIO(implicit p: Parameters) extends XSBundle {
70  val req = ValidIO(new LqWriteBundle)
71  val resp = Input(UInt(log2Up(LoadQueueReplaySize).W))
72}
73
74class LoadToLsqIO(implicit p: Parameters) extends XSBundle {
75  val loadIn = DecoupledIO(new LqWriteBundle)
76  val loadOut = Flipped(DecoupledIO(new MemExuOutput))
77  val ldRawData = Input(new LoadDataFromLQBundle)
78  val forward = new PipeLoadForwardQueryIO
79  val storeLoadViolationQuery = new LoadViolationQueryIO
80  val loadLoadViolationQuery = new LoadViolationQueryIO
81  val trigger = Flipped(new LqTriggerIO)
82}
83
84class LoadToLoadIO(implicit p: Parameters) extends XSBundle {
85  // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
86  val data = UInt(XLEN.W)
87  val valid = Bool()
88}
89
90class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
91  val tdata2 = Input(UInt(64.W))
92  val matchType = Input(UInt(2.W))
93  val tEnable = Input(Bool()) // timing is calculated before this
94  val addrHit = Output(Bool())
95  val lastDataHit = Output(Bool())
96}
97
98// Load Pipeline Stage 0
99// Generate addr, use addr to query DCache and DTLB
100class LoadUnit_S0(implicit p: Parameters) extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
101  val io = IO(new Bundle() {
102    val in = Flipped(Decoupled(new MemExuInput))
103    val out = Decoupled(new LqWriteBundle)
104    val prefetch_in = Flipped(ValidIO(new L1PrefetchReq))
105    val dtlbReq = DecoupledIO(new TlbReq)
106    val dcacheReq = DecoupledIO(new DCacheWordReq)
107    val fastpath = Input(new LoadToLoadIO)
108    val s0_kill = Input(Bool())
109    // wire from lq to load pipeline
110    val replay = Flipped(Decoupled(new LsPipelineBundle))
111    val fastReplay = Flipped(Decoupled(new LqWriteBundle))
112    val s0_sqIdx = Output(new SqPtr)
113    // l2l
114    val l2lForward_select = Output(Bool())
115  })
116  require(LoadPipelineWidth == backendParams.LduCnt)
117
118  val s0_vaddr = Wire(UInt(VAddrBits.W))
119  val s0_mask = Wire(UInt(8.W))
120  val s0_uop = Wire(new DynInst)
121  val s0_isFirstIssue = Wire(Bool())
122  val s0_rsIdx = Wire(UInt(log2Up(MemIQSizeMax).W))
123  val s0_sqIdx = Wire(new SqPtr)
124  val s0_tryFastpath = WireInit(false.B)
125  val s0_replayCarry = Wire(new ReplayCarry) // way info for way predict related logic
126  val s0_isLoadReplay = WireInit(false.B)
127  val s0_sleepIndex = Wire(UInt())
128  // default value
129  s0_replayCarry.valid := false.B
130  s0_replayCarry.real_way_en := 0.U
131  s0_sleepIndex := DontCare
132  s0_rsIdx := DontCare
133  io.s0_sqIdx := s0_sqIdx
134
135  val s0_replayShouldWait = io.in.valid && isAfter(io.replay.bits.uop.robIdx, io.in.bits.uop.robIdx)
136  // load flow select/gen
137  //
138  // src0: load replayed by LSQ (io.replay)
139  // src1: hardware prefetch from prefetchor (high confidence) (io.prefetch)
140  // src2: int read / software prefetch first issue from RS (io.in)
141  // src3: vec read first issue from RS (TODO)
142  // src4: load try pointchaising when no issued or replayed load (io.fastpath)
143  // src5: hardware prefetch from prefetchor (high confidence) (io.prefetch)
144
145  // load flow source valid
146  val lfsrc0_loadFastReplay_valid = io.fastReplay.valid
147  val lfsrc1_loadReplay_valid = io.replay.valid && !s0_replayShouldWait
148  val lfsrc2_highconfhwPrefetch_valid = io.prefetch_in.valid && io.prefetch_in.bits.confidence > 0.U
149  val lfsrc3_intloadFirstIssue_valid = io.in.valid // int flow first issue or software prefetch
150  val lfsrc4_vecloadFirstIssue_valid = WireInit(false.B) // TODO
151  val lfsrc5_l2lForward_valid = io.fastpath.valid
152  val lfsrc6_lowconfhwPrefetch_valid = io.prefetch_in.valid && io.prefetch_in.bits.confidence === 0.U
153  dontTouch(lfsrc0_loadFastReplay_valid)
154  dontTouch(lfsrc1_loadReplay_valid)
155  dontTouch(lfsrc2_highconfhwPrefetch_valid)
156  dontTouch(lfsrc3_intloadFirstIssue_valid)
157  dontTouch(lfsrc4_vecloadFirstIssue_valid)
158  dontTouch(lfsrc5_l2lForward_valid)
159  dontTouch(lfsrc6_lowconfhwPrefetch_valid)
160
161  // load flow source ready
162  val lfsrc_loadFastReplay_ready = WireInit(true.B)
163  val lfsrc_loadReplay_ready = !lfsrc0_loadFastReplay_valid
164  val lfsrc_highconfhwPrefetch_ready = !lfsrc0_loadFastReplay_valid &&
165    !lfsrc1_loadReplay_valid
166  val lfsrc_intloadFirstIssue_ready = !lfsrc0_loadFastReplay_valid &&
167    !lfsrc1_loadReplay_valid &&
168    !lfsrc2_highconfhwPrefetch_valid
169  val lfsrc_vecloadFirstIssue_ready = !lfsrc0_loadFastReplay_valid &&
170    !lfsrc1_loadReplay_valid &&
171    !lfsrc2_highconfhwPrefetch_valid &&
172    !lfsrc3_intloadFirstIssue_valid
173  val lfsrc_l2lForward_ready = !lfsrc0_loadFastReplay_valid &&
174    !lfsrc1_loadReplay_valid &&
175    !lfsrc2_highconfhwPrefetch_valid &&
176    !lfsrc3_intloadFirstIssue_valid &&
177    !lfsrc4_vecloadFirstIssue_valid
178  val lfsrc_lowconfhwPrefetch_ready = !lfsrc0_loadFastReplay_valid &&
179    !lfsrc1_loadReplay_valid &&
180    !lfsrc2_highconfhwPrefetch_valid &&
181    !lfsrc3_intloadFirstIssue_valid &&
182    !lfsrc4_vecloadFirstIssue_valid &&
183    !lfsrc5_l2lForward_valid
184  dontTouch(lfsrc_loadFastReplay_ready)
185  dontTouch(lfsrc_loadReplay_ready)
186  dontTouch(lfsrc_highconfhwPrefetch_ready)
187  dontTouch(lfsrc_intloadFirstIssue_ready)
188  dontTouch(lfsrc_vecloadFirstIssue_ready)
189  dontTouch(lfsrc_l2lForward_ready)
190  dontTouch(lfsrc_lowconfhwPrefetch_ready)
191
192  // load flow source select (OH)
193  val lfsrc_loadFastReplay_select = lfsrc0_loadFastReplay_valid && lfsrc_loadFastReplay_ready
194  val lfsrc_loadReplay_select = lfsrc1_loadReplay_valid && lfsrc_loadReplay_ready
195  val lfsrc_hwprefetch_select = lfsrc_highconfhwPrefetch_ready && lfsrc2_highconfhwPrefetch_valid ||
196    lfsrc_lowconfhwPrefetch_ready && lfsrc6_lowconfhwPrefetch_valid
197  val lfsrc_intloadFirstIssue_select = lfsrc_intloadFirstIssue_ready && lfsrc3_intloadFirstIssue_valid
198  val lfsrc_vecloadFirstIssue_select = lfsrc_vecloadFirstIssue_ready && lfsrc4_vecloadFirstIssue_valid
199  val lfsrc_l2lForward_select = lfsrc_l2lForward_ready && lfsrc5_l2lForward_valid
200  assert(!lfsrc_vecloadFirstIssue_select) // to be added
201  dontTouch(lfsrc_loadFastReplay_select)
202  dontTouch(lfsrc_loadReplay_select)
203  dontTouch(lfsrc_hwprefetch_select)
204  dontTouch(lfsrc_intloadFirstIssue_select)
205  dontTouch(lfsrc_vecloadFirstIssue_select)
206  dontTouch(lfsrc_l2lForward_select)
207
208  io.l2lForward_select := lfsrc_l2lForward_select
209
210  // s0_valid == ture iff there is a valid load flow in load_s0
211  val s0_valid = lfsrc0_loadFastReplay_valid ||
212    lfsrc1_loadReplay_valid ||
213    lfsrc2_highconfhwPrefetch_valid ||
214    lfsrc3_intloadFirstIssue_valid ||
215    lfsrc4_vecloadFirstIssue_valid ||
216    lfsrc5_l2lForward_valid ||
217    lfsrc6_lowconfhwPrefetch_valid
218
219  // prefetch related ctrl signal
220  val isPrefetch = WireInit(false.B)
221  val isPrefetchRead = WireInit(s0_uop.fuOpType === LSUOpType.prefetch_r)
222  val isPrefetchWrite = WireInit(s0_uop.fuOpType === LSUOpType.prefetch_w)
223  val isHWPrefetch = lfsrc_hwprefetch_select
224
225  // query DTLB
226  io.dtlbReq.valid := s0_valid
227  // hw prefetch addr does not need to be translated, give tlb paddr
228  io.dtlbReq.bits.vaddr := Mux(lfsrc_hwprefetch_select, io.prefetch_in.bits.paddr, s0_vaddr)
229  io.dtlbReq.bits.cmd := Mux(isPrefetch,
230    Mux(isPrefetchWrite, TlbCmd.write, TlbCmd.read),
231    TlbCmd.read
232  )
233  io.dtlbReq.bits.size := LSUOpType.size(s0_uop.fuOpType)
234  io.dtlbReq.bits.kill := DontCare
235  io.dtlbReq.bits.memidx.is_ld := true.B
236  io.dtlbReq.bits.memidx.is_st := false.B
237  io.dtlbReq.bits.memidx.idx := s0_uop.lqIdx.value
238  io.dtlbReq.bits.debug.robIdx := s0_uop.robIdx
239  // hw prefetch addr does not need to be translated
240  io.dtlbReq.bits.no_translate := lfsrc_hwprefetch_select
241  io.dtlbReq.bits.debug.pc := s0_uop.pc
242  io.dtlbReq.bits.debug.isFirstIssue := s0_isFirstIssue
243
244  // query DCache
245  io.dcacheReq.valid := s0_valid
246  when (isPrefetchRead) {
247    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFR
248  }.elsewhen (isPrefetchWrite) {
249    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFW
250  }.otherwise {
251    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
252  }
253  io.dcacheReq.bits.addr := s0_vaddr
254  io.dcacheReq.bits.mask := s0_mask
255  io.dcacheReq.bits.data := DontCare
256  io.dcacheReq.bits.isFirstIssue := s0_isFirstIssue
257  when(isPrefetch) {
258    io.dcacheReq.bits.instrtype := DCACHE_PREFETCH_SOURCE.U
259  }.otherwise {
260    io.dcacheReq.bits.instrtype := LOAD_SOURCE.U
261  }
262  io.dcacheReq.bits.debug_robIdx := s0_uop.robIdx.value
263  io.dcacheReq.bits.replayCarry := s0_replayCarry
264
265  // TODO: update cache meta
266  io.dcacheReq.bits.id := DontCare
267
268  // assign default value
269  s0_uop := DontCare
270  // load flow priority mux
271  when (lfsrc_loadFastReplay_select) {
272    s0_vaddr := io.fastReplay.bits.vaddr
273    s0_mask := io.fastReplay.bits.mask
274    s0_uop := io.fastReplay.bits.uop
275    s0_isFirstIssue := false.B
276    s0_sqIdx := io.fastReplay.bits.uop.sqIdx
277    s0_replayCarry := io.fastReplay.bits.replayCarry
278    s0_rsIdx := io.fastReplay.bits.rsIdx
279    s0_isLoadReplay := io.fastReplay.bits.isLoadReplay
280    s0_sleepIndex := io.fastReplay.bits.sleepIndex
281    val replayUopIsPrefetch = WireInit(LSUOpType.isPrefetch(io.fastReplay.bits.uop.fuOpType))
282    when (replayUopIsPrefetch) {
283      isPrefetch := true.B
284    }
285  } .elsewhen(lfsrc_loadReplay_select) {
286    s0_vaddr := io.replay.bits.vaddr
287    s0_mask := genWmask(io.replay.bits.vaddr, io.replay.bits.uop.fuOpType(1, 0))
288    s0_uop := io.replay.bits.uop
289    s0_isFirstIssue := io.replay.bits.isFirstIssue
290    s0_rsIdx := io.replay.bits.rsIdx
291    s0_sqIdx := io.replay.bits.uop.sqIdx
292    s0_replayCarry := io.replay.bits.replayCarry
293    val replayUopIsPrefetch = WireInit(LSUOpType.isPrefetch(io.replay.bits.uop.fuOpType))
294    s0_isLoadReplay := true.B
295    s0_sleepIndex := io.replay.bits.sleepIndex
296    when (replayUopIsPrefetch) {
297      isPrefetch := true.B
298    }
299  }.elsewhen(lfsrc_hwprefetch_select) {
300    // vaddr based index for dcache
301    s0_vaddr := io.prefetch_in.bits.getVaddr()
302    s0_mask := 0.U
303    s0_uop := DontCare
304    s0_isFirstIssue := false.B
305    s0_rsIdx := DontCare
306    s0_sqIdx := DontCare
307    s0_replayCarry := DontCare
308    s0_rsIdx := DontCare
309    s0_isLoadReplay := DontCare
310    // ctrl signal
311    isPrefetch := true.B
312    isPrefetchRead := !io.prefetch_in.bits.is_store
313    isPrefetchWrite := io.prefetch_in.bits.is_store
314  }.elsewhen(lfsrc_intloadFirstIssue_select) {
315    val imm12 = io.in.bits.uop.imm(11, 0)
316    s0_vaddr := io.in.bits.src(0) + SignExt(imm12, VAddrBits)
317    s0_mask := genWmask(s0_vaddr, io.in.bits.uop.fuOpType(1,0))
318    s0_uop := io.in.bits.uop
319    s0_isFirstIssue := true.B
320    s0_rsIdx := io.in.bits.iqIdx
321    s0_sqIdx := io.in.bits.uop.sqIdx
322    val issueUopIsPrefetch = WireInit(LSUOpType.isPrefetch(io.in.bits.uop.fuOpType))
323    s0_isLoadReplay := false.B
324    when (issueUopIsPrefetch) {
325      isPrefetch := true.B
326    }
327  }.otherwise {
328    if (EnableLoadToLoadForward) {
329      s0_tryFastpath := lfsrc_l2lForward_select
330      // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding.
331      s0_vaddr := io.fastpath.data
332      // Assume the pointer chasing is always ld.
333      s0_uop.fuOpType := LSUOpType.ld
334      s0_mask := genWmask(0.U, LSUOpType.ld)
335      // we dont care s0_isFirstIssue and s0_rsIdx and s0_sqIdx in S0 when trying pointchasing
336      // because these signals will be updated in S1
337      s0_isFirstIssue := true.B
338      s0_rsIdx := DontCare
339      s0_sqIdx := DontCare
340      s0_rsIdx := DontCare
341      s0_isLoadReplay := DontCare
342    }
343  }
344
345  // address align check
346  val addrAligned = LookupTree(s0_uop.fuOpType(1, 0), List(
347    "b00".U   -> true.B,                   //b
348    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
349    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
350    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
351  ))
352
353
354  // accept load flow if dcache ready (dtlb is always ready)
355  // TODO: prefetch need writeback to loadQueueFlag
356  io.out.valid := s0_valid && io.dcacheReq.ready && !io.s0_kill
357  io.out.bits := DontCare
358  io.out.bits.vaddr := s0_vaddr
359  io.out.bits.mask := s0_mask
360  io.out.bits.uop := s0_uop
361  io.out.bits.uop.exceptionVec(loadAddrMisaligned) := !addrAligned
362  io.out.bits.rsIdx := s0_rsIdx
363  io.out.bits.isFirstIssue := s0_isFirstIssue
364  io.out.bits.isPrefetch := isPrefetch
365  io.out.bits.isHWPrefetch := isHWPrefetch
366  io.out.bits.isLoadReplay := s0_isLoadReplay
367  io.out.bits.mshrid := io.replay.bits.mshrid
368  io.out.bits.forward_tlDchannel := io.replay.valid && io.replay.bits.forward_tlDchannel
369  when(io.dtlbReq.valid && s0_isFirstIssue) {
370    io.out.bits.uop.debugInfo.tlbFirstReqTime := GTimer()
371  }.otherwise{
372    io.out.bits.uop.debugInfo.tlbFirstReqTime := s0_uop.debugInfo.tlbFirstReqTime
373  }
374  io.out.bits.sleepIndex := s0_sleepIndex
375
376  // load fast replay
377  io.fastReplay.ready := (io.out.ready && io.dcacheReq.ready && lfsrc_loadFastReplay_select)
378
379  // load flow source ready
380  // always accept load flow from load replay queue
381  // io.replay has highest priority
382  io.replay.ready := (io.out.ready && io.dcacheReq.ready && lfsrc_loadReplay_select && !s0_replayShouldWait)
383
384  // accept load flow from rs when:
385  // 1) there is no lsq-replayed load
386  // 2) there is no high confidence prefetch request
387  io.in.ready := (io.out.ready && io.dcacheReq.ready && lfsrc_intloadFirstIssue_select)
388
389  // for hw prefetch load flow feedback, to be added later
390  // io.prefetch_in.ready := lfsrc_hwprefetch_select
391
392  XSDebug(io.dcacheReq.fire,
393    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n"
394  )
395  XSPerfAccumulate("in_valid", io.in.valid)
396  XSPerfAccumulate("in_fire", io.in.fire)
397  XSPerfAccumulate("in_fire_first_issue", s0_valid && s0_isFirstIssue)
398  XSPerfAccumulate("lsq_fire_first_issue", io.replay.fire)
399  XSPerfAccumulate("ldu_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
400  XSPerfAccumulate("fast_replay_issue", io.fastReplay.fire)
401  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready && io.dcacheReq.ready)
402  XSPerfAccumulate("stall_dcache", io.out.valid && io.out.ready && !io.dcacheReq.ready)
403  XSPerfAccumulate("addr_spec_success", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12))
404  XSPerfAccumulate("addr_spec_failed", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12))
405  XSPerfAccumulate("addr_spec_success_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12) && io.in.bits.isFirstIssue)
406  XSPerfAccumulate("addr_spec_failed_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12) && io.in.bits.isFirstIssue)
407  XSPerfAccumulate("forward_tlDchannel", io.out.bits.forward_tlDchannel)
408  XSPerfAccumulate("hardware_prefetch_fire", io.out.fire && lfsrc_hwprefetch_select)
409  XSPerfAccumulate("software_prefetch_fire", io.out.fire && isPrefetch && lfsrc_intloadFirstIssue_select)
410  XSPerfAccumulate("hardware_prefetch_blocked", io.prefetch_in.valid && !lfsrc_hwprefetch_select)
411  XSPerfAccumulate("hardware_prefetch_total", io.prefetch_in.valid)
412}
413
414// Load Pipeline Stage 1
415// TLB resp (send paddr to dcache)
416class LoadUnit_S1(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
417  val io = IO(new Bundle() {
418    val in = Flipped(Decoupled(new LqWriteBundle))
419    val s1_kill = Input(Bool())
420    val out = Decoupled(new LqWriteBundle)
421    val dtlbResp = Flipped(DecoupledIO(new TlbResp(2)))
422    val lsuPAddr = Output(UInt(PAddrBits.W))
423    val dcachePAddr = Output(UInt(PAddrBits.W))
424    val dcacheKill = Output(Bool())
425    val fullForwardFast = Output(Bool())
426    val sbuffer = new LoadForwardQueryIO
427    val lsq = new PipeLoadForwardQueryIO
428    val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO)))
429    val csrCtrl = Flipped(new CustomCSRCtrlIO)
430  })
431
432  val s1_uop = io.in.bits.uop
433  val s1_paddr_dup_lsu = io.dtlbResp.bits.paddr(0)
434  val s1_paddr_dup_dcache = io.dtlbResp.bits.paddr(1)
435  // af & pf exception were modified below.
436  val s1_exception = ExceptionNO.selectByFu(io.out.bits.uop.exceptionVec, LduCfg).asUInt.orR
437  val s1_tlb_miss = io.dtlbResp.bits.miss
438  val s1_mask = io.in.bits.mask
439  val s1_is_prefetch = io.in.bits.isPrefetch
440  val s1_is_hw_prefetch = io.in.bits.isHWPrefetch
441  val s1_is_sw_prefetch = s1_is_prefetch && !s1_is_hw_prefetch
442
443  io.out.bits := io.in.bits // forwardXX field will be updated in s1
444
445  val s1_tlb_memidx = io.dtlbResp.bits.memidx
446  when(s1_tlb_memidx.is_ld && io.dtlbResp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === io.out.bits.uop.lqIdx.value) {
447    // printf("load idx = %d\n", s1_tlb_memidx.idx)
448    io.out.bits.uop.debugInfo.tlbRespTime := GTimer()
449  }
450
451  io.dtlbResp.ready := true.B
452
453  io.lsuPAddr := s1_paddr_dup_lsu
454  io.dcachePAddr := s1_paddr_dup_dcache
455  //io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio
456  io.dcacheKill := s1_tlb_miss || s1_exception || io.s1_kill
457  // load forward query datapath
458  io.sbuffer.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill || s1_is_prefetch)
459  io.sbuffer.vaddr := io.in.bits.vaddr
460  io.sbuffer.paddr := s1_paddr_dup_lsu
461  io.sbuffer.uop := s1_uop
462  io.sbuffer.sqIdx := s1_uop.sqIdx
463  io.sbuffer.mask := s1_mask
464  io.sbuffer.pc := s1_uop.pc // FIXME: remove it
465
466  io.lsq.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill || s1_is_prefetch)
467  io.lsq.vaddr := io.in.bits.vaddr
468  io.lsq.paddr := s1_paddr_dup_lsu
469  io.lsq.uop := s1_uop
470  io.lsq.sqIdx := s1_uop.sqIdx
471  io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0
472  io.lsq.mask := s1_mask
473  io.lsq.pc := s1_uop.pc // FIXME: remove it
474
475  // st-ld violation query
476  val s1_schedError =  VecInit((0 until StorePipelineWidth).map(w => io.reExecuteQuery(w).valid &&
477                          isAfter(io.in.bits.uop.robIdx, io.reExecuteQuery(w).bits.robIdx) &&
478                          (s1_paddr_dup_lsu(PAddrBits-1, 3) === io.reExecuteQuery(w).bits.paddr(PAddrBits-1, 3)) &&
479                          (s1_mask & io.reExecuteQuery(w).bits.mask).orR)).asUInt.orR && !s1_tlb_miss
480
481  // Generate forwardMaskFast to wake up insts earlier
482  val forwardMaskFast = io.lsq.forwardMaskFast.asUInt | io.sbuffer.forwardMaskFast.asUInt
483  io.fullForwardFast := ((~forwardMaskFast).asUInt & s1_mask) === 0.U
484
485  io.out.valid := io.in.valid && !io.s1_kill
486  io.out.bits.paddr := s1_paddr_dup_lsu
487  io.out.bits.tlbMiss := s1_tlb_miss
488
489  // Generate replay signal caused by:
490  // * st-ld violation check
491  // * dcache bank conflict
492  io.out.bits.replayInfo.cause(LoadReplayCauses.schedError) := s1_schedError && !s1_is_sw_prefetch
493  io.out.bits.replayInfo.debug := io.in.bits.uop.debugInfo
494
495  // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
496  // af & pf exception were modified
497  io.out.bits.uop.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp(0).pf.ld
498  io.out.bits.uop.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp(0).af.ld
499  io.out.bits.ptwBack := io.dtlbResp.bits.ptwBack
500  io.out.bits.rsIdx := io.in.bits.rsIdx
501
502  io.in.ready := !io.in.valid || io.out.ready
503
504  XSPerfAccumulate("in_valid", io.in.valid)
505  XSPerfAccumulate("in_fire", io.in.fire)
506  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
507  XSPerfAccumulate("tlb_miss", io.in.fire && s1_tlb_miss)
508  XSPerfAccumulate("tlb_miss_first_issue", io.in.fire && s1_tlb_miss && io.in.bits.isFirstIssue)
509  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
510}
511
512// Load Pipeline Stage 2
513// DCache resp
514class LoadUnit_S2(implicit p: Parameters) extends XSModule
515  with HasLoadHelper
516  with HasCircularQueuePtrHelper
517  with HasDCacheParameters
518{
519  val io = IO(new Bundle() {
520    val redirect = Flipped(Valid(new Redirect))
521    val in = Flipped(Decoupled(new LqWriteBundle))
522    val out = Decoupled(new LqWriteBundle)
523    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
524    val dcacheBankConflict = Input(Bool())
525    val pmpResp = Flipped(new PMPRespBundle())
526    val lsq = new LoadForwardQueryIO
527    val dataInvalidSqIdx = Input(new SqPtr)
528    val addrInvalidSqIdx = Input(new SqPtr)
529    val sbuffer = new LoadForwardQueryIO
530    val dataForwarded = Output(Bool())
531    val fullForward = Output(Bool())
532    val dcache_kill = Output(Bool())
533    val loadLoadViolationQueryReq = DecoupledIO(new LoadViolationQueryReq)
534    val storeLoadViolationQueryReq = DecoupledIO(new LoadViolationQueryReq)
535    val csrCtrl = Flipped(new CustomCSRCtrlIO)
536    val static_pm = Input(Valid(Bool())) // valid for static, bits for mmio
537    val loadDataFromDcache = Output(new LoadDataFromDcacheBundle)
538    val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO)))
539    // forward tilelink D channel
540    val forward_D = Input(Bool())
541    val forwardData_D = Input(Vec(8, UInt(8.W)))
542    val sentFastUop = Input(Bool())
543    // forward mshr data
544    val forward_mshr = Input(Bool())
545    val forwardData_mshr = Input(Vec(8, UInt(8.W)))
546
547    // indicate whether forward tilelink D channel or mshr data is valid
548    val forward_result_valid = Input(Bool())
549
550    val feedbackFast = ValidIO(new RSFeedback)
551    val lqReplayFull = Input(Bool())
552
553    val s2_forward_fail = Output(Bool())
554    val s2_can_replay_from_fetch = Output(Bool()) // dirty code
555    val s2_dcache_require_replay = Output(Bool()) // dirty code
556    val s2_dcache_require_fast_replay = Output(Bool()) // dirty code
557  })
558
559  val pmp = WireInit(io.pmpResp)
560  when (io.static_pm.valid) {
561    pmp.ld := false.B
562    pmp.st := false.B
563    pmp.instr := false.B
564    pmp.mmio := io.static_pm.bits
565  }
566
567  val s2_is_prefetch = io.in.bits.isPrefetch
568  val s2_is_hw_prefetch = io.in.bits.isHWPrefetch
569
570  val forward_D_or_mshr_valid = io.forward_result_valid && (io.forward_D || io.forward_mshr)
571
572  // assert(!reset && io.forward_D && io.forward_mshr && io.in.valid && io.in.bits.forward_tlDchannel, "forward D and mshr at the same time")
573
574  // exception that may cause load addr to be invalid / illegal
575  //
576  // if such exception happen, that inst and its exception info
577  // will be force writebacked to rob
578  val s2_exception_vec = WireInit(io.in.bits.uop.exceptionVec)
579  s2_exception_vec(loadAccessFault) := io.in.bits.uop.exceptionVec(loadAccessFault) || pmp.ld
580  // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered)
581  when (s2_is_prefetch || io.in.bits.tlbMiss) {
582    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
583  }
584  val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR
585
586  // writeback access fault caused by ecc error / bus error
587  //
588  // * ecc data error is slow to generate, so we will not use it until load stage 3
589  // * in load stage 3, an extra signal io.load_error will be used to
590
591  // now cache ecc error will raise an access fault
592  // at the same time, error info (including error paddr) will be write to
593  // an customized CSR "CACHE_ERROR"
594  // if (EnableAccurateLoadError) {
595  //   io.s3_delayed_load_error := io.dcacheResp.bits.error_delayed &&
596  //     io.csrCtrl.cache_error_enable &&
597  //     RegNext(io.out.valid)
598  // } else {
599  //   io.s3_delayed_load_error := false.B
600  // }
601
602  val actually_mmio = pmp.mmio
603  val s2_uop = io.in.bits.uop
604  val s2_mask = io.in.bits.mask
605  val s2_paddr = io.in.bits.paddr
606  val s2_tlb_miss = io.in.bits.tlbMiss
607  val s2_mmio = !s2_is_prefetch && actually_mmio && !s2_exception && !s2_tlb_miss
608  val s2_cache_miss = io.dcacheResp.bits.miss && !forward_D_or_mshr_valid
609  val s2_cache_replay = io.dcacheResp.bits.replay && !forward_D_or_mshr_valid
610  val s2_cache_tag_error = io.dcacheResp.bits.tag_error
611  val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid
612  val s2_wait_store = io.in.bits.uop.storeSetHit &&
613                      io.lsq.addrInvalid &&
614                      !s2_mmio &&
615                      !s2_is_prefetch
616  val s2_data_invalid = io.lsq.dataInvalid && !s2_exception
617  val s2_fullForward = WireInit(false.B)
618
619
620  io.s2_forward_fail := s2_forward_fail
621  io.dcache_kill := pmp.ld || pmp.mmio // move pmp resp kill to outside
622  io.dcacheResp.ready := true.B
623  val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio || s2_is_prefetch)
624  assert(!(io.in.valid && (dcacheShouldResp && !io.dcacheResp.valid)), "DCache response got lost")
625
626  // st-ld violation query
627  //  NeedFastRecovery Valid when
628  //  1. Fast recovery query request Valid.
629  //  2. Load instruction is younger than requestors(store instructions).
630  //  3. Physical address match.
631  //  4. Data contains.
632  val s2_schedError = VecInit((0 until StorePipelineWidth).map(w => io.reExecuteQuery(w).valid &&
633                              isAfter(io.in.bits.uop.robIdx, io.reExecuteQuery(w).bits.robIdx) &&
634                              (s2_paddr(PAddrBits-1,3) === io.reExecuteQuery(w).bits.paddr(PAddrBits-1, 3)) &&
635                              (s2_mask & io.reExecuteQuery(w).bits.mask).orR)).asUInt.orR &&
636                              !s2_tlb_miss
637
638  val s2_fast_replay = ((s2_schedError || io.in.bits.replayInfo.cause(LoadReplayCauses.schedError)) ||
639                       (!s2_wait_store &&
640                       !s2_tlb_miss &&
641                       s2_cache_replay)) &&
642                       !s2_exception &&
643                       !s2_mmio &&
644                       !s2_is_prefetch
645  // need allocate new entry
646  val s2_allocValid = !s2_tlb_miss &&
647                      !s2_is_prefetch &&
648                      !s2_exception &&
649                      !s2_mmio  &&
650                      !s2_wait_store &&
651                      !s2_fast_replay &&
652                      !io.in.bits.replayInfo.cause(LoadReplayCauses.schedError)
653
654  // ld-ld violation require
655  io.loadLoadViolationQueryReq.valid := io.in.valid && s2_allocValid
656  io.loadLoadViolationQueryReq.bits.uop := io.in.bits.uop
657  io.loadLoadViolationQueryReq.bits.mask := s2_mask
658  io.loadLoadViolationQueryReq.bits.paddr := s2_paddr
659  if (EnableFastForward) {
660    io.loadLoadViolationQueryReq.bits.datavalid := Mux(s2_fullForward, true.B, !s2_cache_miss) && !io.s2_dcache_require_replay
661  } else {
662    io.loadLoadViolationQueryReq.bits.datavalid := Mux(s2_fullForward, true.B, !s2_cache_miss)
663  }
664
665  // st-ld violation require
666  io.storeLoadViolationQueryReq.valid := io.in.valid && s2_allocValid
667  io.storeLoadViolationQueryReq.bits.uop := io.in.bits.uop
668  io.storeLoadViolationQueryReq.bits.mask := s2_mask
669  io.storeLoadViolationQueryReq.bits.paddr := s2_paddr
670  io.storeLoadViolationQueryReq.bits.datavalid := io.loadLoadViolationQueryReq.bits.datavalid
671
672  val s2_rarCanAccept = !io.loadLoadViolationQueryReq.valid || io.loadLoadViolationQueryReq.ready
673  val s2_rawCanAccept = !io.storeLoadViolationQueryReq.valid || io.storeLoadViolationQueryReq.ready
674  val s2_rarReject = !s2_rarCanAccept
675  val s2_rawReject = !s2_rawCanAccept
676
677  // merge forward result
678  // lsq has higher priority than sbuffer
679  val forwardMask = Wire(Vec(8, Bool()))
680  val forwardData = Wire(Vec(8, UInt(8.W)))
681
682  val fullForward = ((~forwardMask.asUInt).asUInt & s2_mask) === 0.U && !io.lsq.dataInvalid
683  io.lsq := DontCare
684  io.sbuffer := DontCare
685  io.fullForward := fullForward
686  s2_fullForward := fullForward
687
688  // generate XLEN/8 Muxs
689  for (i <- 0 until XLEN / 8) {
690    forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i)
691    forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i))
692  }
693
694  XSDebug(io.out.fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
695    s2_uop.pc,
696    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
697    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
698  )
699
700  //
701  io.s2_dcache_require_fast_replay := s2_fast_replay
702
703  // data merge
704  // val rdataVec = VecInit((0 until XLEN / 8).map(j =>
705  //   Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j))
706  // )) // s2_rdataVec will be write to load queue
707  // val rdata = rdataVec.asUInt
708  // val rdataSel = LookupTree(s2_paddr(2, 0), List(
709  //   "b000".U -> rdata(63, 0),
710  //   "b001".U -> rdata(63, 8),
711  //   "b010".U -> rdata(63, 16),
712  //   "b011".U -> rdata(63, 24),
713  //   "b100".U -> rdata(63, 32),
714  //   "b101".U -> rdata(63, 40),
715  //   "b110".U -> rdata(63, 48),
716  //   "b111".U -> rdata(63, 56)
717  // ))
718  // val rdataPartialLoad = rdataHelper(s2_uop, rdataSel) // s2_rdataPartialLoad is not used
719  io.feedbackFast.valid := io.in.valid && !io.in.bits.isLoadReplay && !s2_exception && io.lqReplayFull && io.out.bits.replayInfo.needReplay() && !io.out.bits.uop.robIdx.needFlush(io.redirect)
720  io.feedbackFast.bits.hit := false.B
721  io.feedbackFast.bits.flushState := io.in.bits.ptwBack
722  io.feedbackFast.bits.rsIdx := io.in.bits.rsIdx
723  io.feedbackFast.bits.sourceType := RSFeedbackType.lrqFull
724  io.feedbackFast.bits.dataInvalidSqIdx := DontCare
725
726  io.out.valid := io.in.valid && !io.feedbackFast.valid && !s2_is_hw_prefetch // hardware prefetch flow should not be writebacked
727  // write_lq_safe is needed by dup logic
728  // io.write_lq_safe := !s2_tlb_miss && !s2_data_invalid
729  // Inst will be canceled in store queue / lsq,
730  // so we do not need to care about flush in load / store unit's out.valid
731  io.out.bits := io.in.bits
732  // io.out.bits.data := rdataPartialLoad
733  io.out.bits.data := 0.U // data will be generated in load_s3
734  // when exception occurs, set it to not miss and let it write back to rob (via int port)
735  if (EnableFastForward) {
736    io.out.bits.miss := s2_cache_miss &&
737      !s2_exception &&
738      !fullForward &&
739      !s2_is_prefetch &&
740      !s2_mmio
741  } else {
742    io.out.bits.miss := s2_cache_miss &&
743      !s2_exception &&
744      !s2_is_prefetch &&
745      !s2_mmio
746  }
747  io.out.bits.uop.fpWen := io.in.bits.uop.fpWen && !s2_exception
748
749  // val s2_loadDataFromDcache = new LoadDataFromDcacheBundle
750  // s2_loadDataFromDcache.forwardMask := forwardMask
751  // s2_loadDataFromDcache.forwardData := forwardData
752  // s2_loadDataFromDcache.uop := io.out.bits.uop
753  // s2_loadDataFromDcache.addrOffset := s2_paddr(2, 0)
754  // // forward D or mshr
755  // s2_loadDataFromDcache.forward_D := io.forward_D
756  // s2_loadDataFromDcache.forwardData_D := io.forwardData_D
757  // s2_loadDataFromDcache.forward_mshr := io.forward_mshr
758  // s2_loadDataFromDcache.forwardData_mshr := io.forwardData_mshr
759  // s2_loadDataFromDcache.forward_result_valid := io.forward_result_valid
760  // io.loadDataFromDcache := RegEnable(s2_loadDataFromDcache, io.in.valid)
761  io.loadDataFromDcache.respDcacheData := io.dcacheResp.bits.data_delayed
762  io.loadDataFromDcache.forwardMask := RegEnable(forwardMask, io.in.valid)
763  io.loadDataFromDcache.forwardData := RegEnable(forwardData, io.in.valid)
764  io.loadDataFromDcache.uop := RegEnable(io.out.bits.uop, io.in.valid)
765  io.loadDataFromDcache.addrOffset := RegEnable(s2_paddr(2, 0), io.in.valid)
766  // forward D or mshr
767  io.loadDataFromDcache.forward_D := RegEnable(io.forward_D, io.in.valid)
768  io.loadDataFromDcache.forwardData_D := RegEnable(io.forwardData_D, io.in.valid)
769  io.loadDataFromDcache.forward_mshr := RegEnable(io.forward_mshr, io.in.valid)
770  io.loadDataFromDcache.forwardData_mshr := RegEnable(io.forwardData_mshr, io.in.valid)
771  io.loadDataFromDcache.forward_result_valid := RegEnable(io.forward_result_valid, io.in.valid)
772
773  io.s2_can_replay_from_fetch := !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
774  // if forward fail, replay this inst from fetch
775  val debug_forwardFailReplay = s2_forward_fail && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
776  // if ld-ld violation is detected, replay from this inst from fetch
777  val debug_ldldVioReplay = false.B // s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
778  // io.out.bits.uop.ctrl.replayInst := false.B
779
780  io.out.bits.mmio := s2_mmio
781  io.out.bits.uop.flushPipe := io.sentFastUop && s2_mmio // remove io.sentFastUop
782  io.out.bits.uop.exceptionVec := s2_exception_vec // cache error not included
783
784  // For timing reasons, sometimes we can not let
785  // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward
786  // We use io.dataForwarded instead. It means:
787  // 1. Forward logic have prepared all data needed,
788  //    and dcache query is no longer needed.
789  // 2. ... or data cache tag error is detected, this kind of inst
790  //    will not update miss queue. That is to say, if miss, that inst
791  //    may not be refilled
792  // Such inst will be writebacked from load queue.
793  io.dataForwarded := s2_cache_miss && !s2_exception &&
794    (fullForward || RegNext(io.csrCtrl.cache_error_enable) && s2_cache_tag_error)
795  // io.out.bits.forwardX will be send to lq
796  io.out.bits.forwardMask := forwardMask
797  // data from dcache is not included in io.out.bits.forwardData
798  io.out.bits.forwardData := forwardData
799
800  io.in.ready := io.out.ready || !io.in.valid
801
802  // Generate replay signal caused by:
803  // * st-ld violation check
804  // * tlb miss
805  // * dcache replay
806  // * forward data invalid
807  // * dcache miss
808  io.out.bits.replayInfo.cause(LoadReplayCauses.waitStore) := s2_wait_store && !s2_mmio && !s2_is_prefetch
809  io.out.bits.replayInfo.cause(LoadReplayCauses.tlbMiss) := s2_tlb_miss
810  io.out.bits.replayInfo.cause(LoadReplayCauses.schedError) := (io.in.bits.replayInfo.cause(LoadReplayCauses.schedError) || s2_schedError) && !s2_mmio && !s2_is_prefetch
811  io.out.bits.replayInfo.cause(LoadReplayCauses.bankConflict) := io.dcacheBankConflict && !s2_mmio && !s2_is_prefetch
812  io.out.bits.replayInfo.cause(LoadReplayCauses.dcacheMiss) := io.out.bits.miss
813  if (EnableFastForward) {
814    io.out.bits.replayInfo.cause(LoadReplayCauses.dcacheReplay) := s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !fullForward
815  }else {
816    io.out.bits.replayInfo.cause(LoadReplayCauses.dcacheReplay) := s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !io.dataForwarded
817  }
818  io.out.bits.replayInfo.cause(LoadReplayCauses.forwardFail) := s2_data_invalid && !s2_mmio && !s2_is_prefetch
819  io.out.bits.replayInfo.cause(LoadReplayCauses.rarReject) := s2_rarReject && !s2_mmio && !s2_is_prefetch && !s2_exception
820  io.out.bits.replayInfo.cause(LoadReplayCauses.rawReject) := s2_rawReject && !s2_mmio && !s2_is_prefetch && !s2_exception
821  io.out.bits.replayInfo.canForwardFullData := io.dataForwarded
822  io.out.bits.replayInfo.dataInvalidSqIdx := io.dataInvalidSqIdx
823  io.out.bits.replayInfo.addrInvalidSqIdx := io.addrInvalidSqIdx // io.in.bits.uop.sqIdx - io.oracleMDPQuery.resp.distance // io.addrInvalidSqIdx
824  io.out.bits.replayInfo.replayCarry := io.dcacheResp.bits.replayCarry
825  io.out.bits.replayInfo.missMSHRId := io.dcacheResp.bits.mshr_id
826  io.out.bits.replayInfo.dataInLastBeat := io.in.bits.paddr(log2Up(refillBytes))
827  io.out.bits.replayInfo.debug := io.in.bits.uop.debugInfo
828
829  // To be removed
830  val s2_need_replay_from_rs = WireInit(false.B)
831  // s2_cache_replay is quite slow to generate, send it separately to LQ
832  if (EnableFastForward) {
833    io.s2_dcache_require_replay := s2_cache_replay && !fullForward
834  } else {
835    io.s2_dcache_require_replay := s2_cache_replay &&
836      s2_need_replay_from_rs &&
837      !io.dataForwarded &&
838      !s2_is_prefetch &&
839      io.out.bits.miss
840  }
841
842  XSPerfAccumulate("in_valid", io.in.valid)
843  XSPerfAccumulate("in_fire", io.in.fire)
844  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
845  XSPerfAccumulate("dcache_miss", io.in.fire && s2_cache_miss)
846  XSPerfAccumulate("dcache_miss_first_issue", io.in.fire && s2_cache_miss && io.in.bits.isFirstIssue)
847  XSPerfAccumulate("full_forward", io.in.valid && fullForward)
848  XSPerfAccumulate("dcache_miss_full_forward", io.in.valid && s2_cache_miss && fullForward)
849  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
850  XSPerfAccumulate("prefetch", io.in.fire && s2_is_prefetch)
851  XSPerfAccumulate("prefetch_ignored", io.in.fire && s2_is_prefetch && s2_cache_replay) // ignore prefetch for mshr full / miss req port conflict
852  XSPerfAccumulate("prefetch_miss", io.in.fire && s2_is_prefetch && s2_cache_miss) // prefetch req miss in l1
853  XSPerfAccumulate("prefetch_hit", io.in.fire && s2_is_prefetch && !s2_cache_miss) // prefetch req hit in l1
854  // prefetch a missed line in l1, and l1 accepted it
855  XSPerfAccumulate("prefetch_accept", io.in.fire && s2_is_prefetch && s2_cache_miss && !s2_cache_replay)
856}
857
858class LoadUnit(implicit p: Parameters) extends XSModule
859  with HasLoadHelper
860  with HasPerfEvents
861  with HasDCacheParameters
862  with HasCircularQueuePtrHelper
863{
864  val io = IO(new Bundle() {
865    val loadIn = Flipped(Decoupled(new MemExuInput))
866    val loadOut = Decoupled(new MemExuOutput)
867    val redirect = Flipped(ValidIO(new Redirect))
868    val dcache = new DCacheLoadIO
869    val sbuffer = new LoadForwardQueryIO
870    val lsq = new LoadToLsqIO
871    val tlDchannel = Input(new DcacheToLduForwardIO)
872    val forward_mshr = Flipped(new LduToMissqueueForwardIO)
873    val refill = Flipped(ValidIO(new Refill))
874    val fastUop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2
875    val trigger = Vec(3, new LoadUnitTriggerIO)
876
877    val tlb = new TlbRequestIO(2)
878    val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now
879
880    // provide prefetch info
881    val prefetch_train = ValidIO(new LdPrefetchTrainBundle())
882
883    // hardware prefetch to l1 cache req
884    val prefetch_req = Flipped(ValidIO(new L1PrefetchReq))
885
886    // load to load fast path
887    val fastpathOut = Output(new LoadToLoadIO)
888    val fastpathIn = Input(new LoadToLoadIO)
889    val loadFastMatch = Input(Bool())
890    val loadFastImm = Input(UInt(12.W))
891
892    // rs feedback
893    val feedbackFast = ValidIO(new RSFeedback) // stage 2
894    val feedbackSlow = ValidIO(new RSFeedback) // stage 3
895
896    // load ecc
897    val s3_delayedLoadError = Output(Bool()) // load ecc error
898    // Note that io.s3_delayed_load_error and io.lsq.s3_delayed_load_error is different
899
900    // load unit ctrl
901    val csrCtrl = Flipped(new CustomCSRCtrlIO)
902
903    val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO)))    // load replay
904    val replay = Flipped(Decoupled(new LsPipelineBundle))
905    val debug_ls = Output(new DebugLsInfoBundle)
906    val s2IsPointerChasing = Output(Bool()) // provide right pc for hw prefetch
907    val lqReplayFull = Input(Bool())
908
909    // Load fast replay path
910    val fastReplayIn = Flipped(Decoupled(new LqWriteBundle))
911    val fastReplayOut = Decoupled(new LqWriteBundle)
912  })
913
914  val load_s0 = Module(new LoadUnit_S0)
915  val load_s1 = Module(new LoadUnit_S1)
916  val load_s2 = Module(new LoadUnit_S2)
917
918  dontTouch(load_s0.io)
919  dontTouch(load_s1.io)
920  dontTouch(load_s2.io)
921
922  // load s0
923  load_s0.io.in <> io.loadIn
924  load_s0.io.dtlbReq <> io.tlb.req
925  load_s0.io.dcacheReq <> io.dcache.req
926  load_s0.io.s0_kill := false.B
927  load_s0.io.replay <> io.replay
928  // hareware prefetch to l1
929  load_s0.io.prefetch_in <> io.prefetch_req
930  load_s0.io.fastReplay <> io.fastReplayIn
931
932  // we try pointerchasing if lfsrc_l2lForward_select condition is satisfied
933  val s0_tryPointerChasing = load_s0.io.l2lForward_select
934  val s0_pointerChasingVAddr = io.fastpathIn.data(5, 0) +& io.loadFastImm(5, 0)
935  load_s0.io.fastpath.valid := io.fastpathIn.valid
936  load_s0.io.fastpath.data := Cat(io.fastpathIn.data(XLEN-1, 6), s0_pointerChasingVAddr(5,0))
937
938  val s1_data = PipelineConnect(load_s0.io.out, load_s1.io.in, true.B,
939    load_s0.io.out.bits.uop.robIdx.needFlush(io.redirect) && !s0_tryPointerChasing).get
940
941  // load s1
942  // update s1_kill when any source has valid request
943  load_s1.io.s1_kill := RegEnable(load_s0.io.s0_kill, false.B, io.loadIn.valid || io.replay.valid || io.fastpathIn.valid || load_s0.io.fastReplay.valid)
944  io.tlb.req_kill := load_s1.io.s1_kill
945  load_s1.io.dtlbResp <> io.tlb.resp
946  load_s1.io.lsuPAddr <> io.dcache.s1_paddr_dup_lsu
947  load_s1.io.dcachePAddr <> io.dcache.s1_paddr_dup_dcache
948  load_s1.io.dcacheKill <> io.dcache.s1_kill
949  load_s1.io.sbuffer <> io.sbuffer
950  load_s1.io.lsq <> io.lsq.forward
951  load_s1.io.csrCtrl <> io.csrCtrl
952  load_s1.io.reExecuteQuery := io.reExecuteQuery
953
954  // when S0 has opportunity to try pointerchasing, make sure it truely goes to S1
955  // which is S0's out is ready and dcache is ready
956  val s0_doTryPointerChasing = s0_tryPointerChasing && load_s0.io.out.ready && load_s0.io.dcacheReq.ready
957  val s1_tryPointerChasing = RegNext(s0_doTryPointerChasing, false.B)
958  val s1_pointerChasingVAddr = RegEnable(s0_pointerChasingVAddr, s0_doTryPointerChasing)
959  val cancelPointerChasing = WireInit(false.B)
960  if (EnableLoadToLoadForward) {
961    // Sometimes, we need to cancel the load-load forwarding.
962    // These can be put at S0 if timing is bad at S1.
963    // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow)
964    val addressMisMatch = s1_pointerChasingVAddr(6) || RegEnable(io.loadFastImm(11, 6).orR, s0_doTryPointerChasing)
965    // Case 1: the address is not 64-bit aligned or the fuOpType is not LD
966    val addressNotAligned = s1_pointerChasingVAddr(2, 0).orR
967    val fuOpTypeIsNotLd = io.loadIn.bits.uop.fuOpType =/= LSUOpType.ld
968    // Case 2: this is not a valid load-load pair
969    val notFastMatch = RegEnable(!io.loadFastMatch, s0_tryPointerChasing)
970    // Case 3: this load-load uop is cancelled
971    val isCancelled = !io.loadIn.valid
972    when (s1_tryPointerChasing) {
973      cancelPointerChasing := addressMisMatch || addressNotAligned || fuOpTypeIsNotLd || notFastMatch || isCancelled
974      load_s1.io.in.bits.uop := io.loadIn.bits.uop
975      val spec_vaddr = s1_data.vaddr
976      val vaddr = Cat(spec_vaddr(VAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W))
977      load_s1.io.in.bits.vaddr := vaddr
978      load_s1.io.in.bits.rsIdx := io.loadIn.bits.iqIdx
979      load_s1.io.in.bits.isFirstIssue := io.loadIn.bits.isFirstIssue
980      // We need to replace vaddr(5, 3).
981      val spec_paddr = io.tlb.resp.bits.paddr(0)
982      load_s1.io.dtlbResp.bits.paddr.foreach(_ := Cat(spec_paddr(PAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W)))
983      // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb)
984      load_s1.io.in.bits.uop.debugInfo.tlbFirstReqTime := GTimer()
985      load_s1.io.in.bits.uop.debugInfo.tlbRespTime := GTimer()
986    }
987    when (cancelPointerChasing) {
988      load_s1.io.s1_kill := true.B
989    }.otherwise {
990      load_s0.io.s0_kill := s1_tryPointerChasing && !io.replay.fire && !load_s0.io.fastReplay.fire
991      when (s1_tryPointerChasing) {
992        io.loadIn.ready := true.B
993      }
994    }
995
996    XSPerfAccumulate("load_to_load_forward", s1_tryPointerChasing && !cancelPointerChasing)
997    XSPerfAccumulate("load_to_load_forward_try", s1_tryPointerChasing)
998    XSPerfAccumulate("load_to_load_forward_fail", cancelPointerChasing)
999    XSPerfAccumulate("load_to_load_forward_fail_cancelled", cancelPointerChasing && isCancelled)
1000    XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", cancelPointerChasing && !isCancelled && notFastMatch)
1001    XSPerfAccumulate("load_to_load_forward_fail_op_not_ld",
1002      cancelPointerChasing && !isCancelled && !notFastMatch && fuOpTypeIsNotLd)
1003    XSPerfAccumulate("load_to_load_forward_fail_addr_align",
1004      cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && addressNotAligned)
1005    XSPerfAccumulate("load_to_load_forward_fail_set_mismatch",
1006      cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && !addressNotAligned && addressMisMatch)
1007  }
1008  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B,
1009    load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect) || cancelPointerChasing)
1010
1011  val (forward_D, forwardData_D) = io.tlDchannel.forward(load_s1.io.out.valid && load_s1.io.out.bits.forward_tlDchannel, load_s1.io.out.bits.mshrid, load_s1.io.out.bits.paddr)
1012
1013  io.forward_mshr.valid := load_s1.io.out.valid && load_s1.io.out.bits.forward_tlDchannel
1014  io.forward_mshr.mshrid := load_s1.io.out.bits.mshrid
1015  io.forward_mshr.paddr := load_s1.io.out.bits.paddr
1016  val (forward_result_valid, forward_mshr, forwardData_mshr) = io.forward_mshr.forward()
1017
1018  XSPerfAccumulate("successfully_forward_channel_D", forward_D && forward_result_valid)
1019  XSPerfAccumulate("successfully_forward_mshr", forward_mshr && forward_result_valid)
1020
1021  // load s2
1022  load_s2.io.redirect <> io.redirect
1023  load_s2.io.forward_D := forward_D
1024  load_s2.io.forwardData_D := forwardData_D
1025  load_s2.io.forward_result_valid := forward_result_valid
1026  load_s2.io.dcacheBankConflict <> io.dcache.s2_bank_conflict
1027  load_s2.io.forward_mshr := forward_mshr
1028  load_s2.io.forwardData_mshr := forwardData_mshr
1029  io.s2IsPointerChasing := RegEnable(s1_tryPointerChasing && !cancelPointerChasing, load_s1.io.out.fire)
1030  io.prefetch_train.bits.fromLsPipelineBundle(load_s2.io.in.bits)
1031  // override miss bit
1032  io.prefetch_train.bits.miss := io.dcache.resp.bits.miss
1033  io.prefetch_train.bits.meta_prefetch := io.dcache.resp.bits.meta_prefetch
1034  io.prefetch_train.bits.meta_access := io.dcache.resp.bits.meta_access
1035  io.prefetch_train.valid := load_s2.io.in.fire && !load_s2.io.out.bits.mmio && !load_s2.io.in.bits.tlbMiss
1036  io.dcache.s2_kill := load_s2.io.dcache_kill // to kill mmio resp which are redirected
1037  if (env.FPGAPlatform)
1038    io.dcache.s2_pc := DontCare
1039  else
1040    io.dcache.s2_pc := load_s2.io.out.bits.uop.pc
1041  load_s2.io.dcacheResp <> io.dcache.resp
1042  load_s2.io.pmpResp <> io.pmp
1043  load_s2.io.static_pm := RegNext(io.tlb.resp.bits.static_pm)
1044  load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData
1045  load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask
1046  load_s2.io.lsq.forwardMaskFast <> io.lsq.forward.forwardMaskFast // should not be used in load_s2
1047  load_s2.io.lsq.dataInvalid <> io.lsq.forward.dataInvalid
1048  load_s2.io.lsq.matchInvalid <> io.lsq.forward.matchInvalid
1049  load_s2.io.lsq.addrInvalid <> io.lsq.forward.addrInvalid
1050  load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData
1051  load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask
1052  load_s2.io.sbuffer.forwardMaskFast <> io.sbuffer.forwardMaskFast // should not be used in load_s2
1053  load_s2.io.sbuffer.dataInvalid <> io.sbuffer.dataInvalid // always false
1054  load_s2.io.sbuffer.matchInvalid <> io.sbuffer.matchInvalid
1055  load_s2.io.sbuffer.addrInvalid := DontCare // useless
1056  load_s2.io.dataInvalidSqIdx <> io.lsq.forward.dataInvalidSqIdx // provide dataInvalidSqIdx to make wakeup faster
1057  load_s2.io.addrInvalidSqIdx <> io.lsq.forward.addrInvalidSqIdx // provide addrInvalidSqIdx to make wakeup faster
1058  load_s2.io.csrCtrl <> io.csrCtrl
1059  load_s2.io.sentFastUop := io.fastUop.valid
1060  load_s2.io.reExecuteQuery := io.reExecuteQuery
1061  load_s2.io.loadLoadViolationQueryReq <> io.lsq.loadLoadViolationQuery.req
1062  load_s2.io.storeLoadViolationQueryReq <> io.lsq.storeLoadViolationQuery.req
1063  load_s2.io.feedbackFast <> io.feedbackFast
1064  load_s2.io.lqReplayFull <> io.lqReplayFull
1065
1066  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
1067  val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.s0_sqIdx.value, StoreQueueSize))
1068  // to enable load-load, sqIdxMask must be calculated based on loadIn.uop
1069  // If the timing here is not OK, load-load forwarding has to be disabled.
1070  // Or we calculate sqIdxMask at RS??
1071  io.lsq.forward.sqIdxMask := sqIdxMaskReg
1072  if (EnableLoadToLoadForward) {
1073    when (s1_tryPointerChasing) {
1074      io.lsq.forward.sqIdxMask := UIntToMask(io.loadIn.bits.uop.sqIdx.value, StoreQueueSize)
1075    }
1076  }
1077
1078  // // use s2_hit_way to select data received in s1
1079  // load_s2.io.dcacheResp.bits.data := Mux1H(RegNext(io.dcache.s1_hit_way), RegNext(io.dcache.s1_data))
1080  // assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data)
1081
1082  // now io.fastUop.valid is sent to RS in load_s2
1083  // val forward_D_or_mshr_valid = forward_result_valid && (forward_D || forward_mshr)
1084  // val s2_dcache_hit = io.dcache.s2_hit || forward_D_or_mshr_valid // dcache hit dup in lsu side
1085
1086  // never fast wakeup
1087  val forward_D_or_mshr_valid = forward_result_valid && (forward_D || forward_mshr)
1088  val s2_dcache_hit = io.dcache.s2_hit || forward_D_or_mshr_valid // dcache hit dup in lsu side
1089
1090  io.fastUop.valid := RegNext(
1091      !io.dcache.s1_disable_fast_wakeup &&  // load fast wakeup should be disabled when dcache data read is not ready
1092      load_s1.io.in.valid && // valid load request
1093      !load_s1.io.s1_kill && // killed by load-load forwarding
1094      !load_s1.io.dtlbResp.bits.fast_miss && // not mmio or tlb miss, pf / af not included here
1095      !io.lsq.forward.dataInvalidFast // forward failed
1096    ) &&
1097    !RegNext(load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) &&
1098    (load_s2.io.in.valid && s2_dcache_hit && !load_s2.io.out.bits.replayInfo.needReplay())
1099  io.fastUop.bits := RegNext(load_s1.io.out.bits.uop)
1100
1101  XSDebug(load_s0.io.out.valid,
1102    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
1103    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
1104  XSDebug(load_s1.io.out.valid,
1105    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
1106    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
1107
1108  // load s2
1109  load_s2.io.out.ready := true.B
1110  val s2_loadOutValid = load_s2.io.out.valid
1111  // generate duplicated load queue data wen
1112  val s2_loadValidVec = RegInit(0.U(6.W))
1113  val s2_loadLeftFire = load_s1.io.out.valid && load_s2.io.in.ready
1114  // val write_lq_safe = load_s2.io.write_lq_safe
1115  s2_loadValidVec := 0x0.U(6.W)
1116  when (s2_loadLeftFire && !load_s1.io.out.bits.isHWPrefetch) { s2_loadValidVec := 0x3f.U(6.W) } // TODO: refactor me
1117  when (load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) { s2_loadValidVec := 0x0.U(6.W) }
1118  assert(RegNext((load_s2.io.in.valid === s2_loadValidVec(0)) || RegNext(load_s1.io.out.bits.isHWPrefetch)))
1119
1120  // load s3
1121  // writeback to LSQ
1122  // Current dcache use MSHR
1123  // Load queue will be updated at s2 for both hit/miss int/fp load
1124  val s3_loadOutBits = RegEnable(load_s2.io.out.bits, s2_loadOutValid)
1125  val s3_loadOutValid = RegNext(s2_loadOutValid) && !RegNext(load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect))
1126  val s3_fast_replay = WireInit(false.B)
1127  io.lsq.loadIn.valid := s3_loadOutValid && (!s3_fast_replay || !io.fastReplayOut.ready)
1128  io.lsq.loadIn.bits := s3_loadOutBits
1129
1130  // s3 load fast replay
1131  io.fastReplayOut.valid := s3_loadOutValid && s3_fast_replay && !s3_loadOutBits.uop.robIdx.needFlush(io.redirect)
1132  io.fastReplayOut.bits := s3_loadOutBits
1133
1134
1135  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1136
1137  // make chisel happy
1138  val s3_loadValidVec = Reg(UInt(6.W))
1139  s3_loadValidVec := s2_loadValidVec
1140  io.lsq.loadIn.bits.lqDataWenDup := s3_loadValidVec.asBools
1141
1142  // s2_dcache_require_replay signal will be RegNexted, then used in s3
1143  val s3_dcacheRequireReplay = RegNext(load_s2.io.s2_dcache_require_replay)
1144  val s3_delayedLoadError =
1145    if (EnableAccurateLoadError) {
1146      io.dcache.resp.bits.error_delayed && RegNext(io.csrCtrl.cache_error_enable)
1147    } else {
1148      WireInit(false.B)
1149    }
1150  val s3_canReplayFromFetch = RegNext(load_s2.io.s2_can_replay_from_fetch)
1151  io.s3_delayedLoadError := false.B // s3_delayedLoadError
1152  io.lsq.loadIn.bits.dcacheRequireReplay := s3_dcacheRequireReplay
1153
1154
1155  val s3_vpMatchInvalid = RegNext(io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid)
1156  val s3_ldld_replayFromFetch =
1157    io.lsq.loadLoadViolationQuery.resp.valid &&
1158    io.lsq.loadLoadViolationQuery.resp.bits.replayFromFetch &&
1159    RegNext(io.csrCtrl.ldld_vio_check_enable)
1160
1161  // write to rob and writeback bus
1162  val s3_replayInfo = s3_loadOutBits.replayInfo
1163  val s3_replayInst = s3_vpMatchInvalid || s3_ldld_replayFromFetch
1164  val s3_selReplayCause = PriorityEncoderOH(s3_replayInfo.cause.asUInt)
1165  dontTouch(s3_selReplayCause) // for debug
1166  val s3_forceReplay = s3_selReplayCause(LoadReplayCauses.schedError) ||
1167                       s3_selReplayCause(LoadReplayCauses.tlbMiss) ||
1168                       s3_selReplayCause(LoadReplayCauses.waitStore)
1169
1170  val s3_exception = ExceptionNO.selectByFu(s3_loadOutBits.uop.exceptionVec, LduCfg).asUInt.orR
1171  when ((s3_exception || s3_delayedLoadError || s3_replayInst) && !s3_forceReplay) {
1172    io.lsq.loadIn.bits.replayInfo.cause := 0.U.asTypeOf(s3_replayInfo.cause.cloneType)
1173  } .otherwise {
1174    io.lsq.loadIn.bits.replayInfo.cause := VecInit(s3_selReplayCause.asBools)
1175  }
1176  dontTouch(io.lsq.loadIn.bits.replayInfo.cause)
1177
1178
1179
1180  // Int load, if hit, will be writebacked at s2
1181  val hitLoadOut = Wire(Valid(new MemExuOutput))
1182  hitLoadOut.valid := s3_loadOutValid && !io.lsq.loadIn.bits.replayInfo.needReplay() && !s3_loadOutBits.mmio
1183  hitLoadOut.bits.uop := s3_loadOutBits.uop
1184  hitLoadOut.bits.uop.exceptionVec(loadAccessFault) := s3_delayedLoadError && !s3_loadOutBits.tlbMiss  ||
1185                                                          s3_loadOutBits.uop.exceptionVec(loadAccessFault)
1186  hitLoadOut.bits.uop.replayInst := s3_replayInst
1187  hitLoadOut.bits.data := s3_loadOutBits.data
1188  hitLoadOut.bits.debug.isMMIO := s3_loadOutBits.mmio
1189  hitLoadOut.bits.debug.isPerfCnt := false.B
1190  hitLoadOut.bits.debug.paddr := s3_loadOutBits.paddr
1191  hitLoadOut.bits.debug.vaddr := s3_loadOutBits.vaddr
1192
1193  when (s3_forceReplay) {
1194    hitLoadOut.bits.uop.exceptionVec := 0.U.asTypeOf(s3_loadOutBits.uop.exceptionVec.cloneType)
1195  }
1196
1197  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1198
1199  io.lsq.loadIn.bits.uop := hitLoadOut.bits.uop
1200
1201  val s3_needRelease = s3_exception || io.lsq.loadIn.bits.replayInfo.needReplay()
1202  io.lsq.loadLoadViolationQuery.preReq := load_s1.io.out.valid
1203  io.lsq.loadLoadViolationQuery.release := s3_needRelease
1204  io.lsq.storeLoadViolationQuery.preReq := load_s1.io.out.valid
1205  io.lsq.storeLoadViolationQuery.release := s3_needRelease
1206
1207  // feedback slow
1208  s3_fast_replay := RegNext(load_s2.io.s2_dcache_require_fast_replay)  && !s3_exception
1209  val s3_need_feedback = !s3_loadOutBits.isLoadReplay && !(s3_fast_replay && io.fastReplayOut.ready)
1210
1211  //
1212  io.feedbackSlow.valid := s3_loadOutValid && !s3_loadOutBits.uop.robIdx.needFlush(io.redirect) && s3_need_feedback
1213  io.feedbackSlow.bits.hit := !io.lsq.loadIn.bits.replayInfo.needReplay() || io.lsq.loadIn.ready
1214  io.feedbackSlow.bits.flushState := s3_loadOutBits.ptwBack
1215  io.feedbackSlow.bits.rsIdx := s3_loadOutBits.rsIdx
1216  io.feedbackSlow.bits.sourceType := RSFeedbackType.lrqFull
1217  io.feedbackSlow.bits.dataInvalidSqIdx := DontCare
1218
1219  val s3_loadWbMeta = Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.loadOut.bits)
1220  // data from load queue refill
1221  val s3_loadDataFromLQ = io.lsq.ldRawData
1222  val s3_rdataLQ = s3_loadDataFromLQ.mergedData()
1223  val s3_rdataSelLQ = LookupTree(s3_loadDataFromLQ.addrOffset, List(
1224    "b000".U -> s3_rdataLQ(63,  0),
1225    "b001".U -> s3_rdataLQ(63,  8),
1226    "b010".U -> s3_rdataLQ(63, 16),
1227    "b011".U -> s3_rdataLQ(63, 24),
1228    "b100".U -> s3_rdataLQ(63, 32),
1229    "b101".U -> s3_rdataLQ(63, 40),
1230    "b110".U -> s3_rdataLQ(63, 48),
1231    "b111".U -> s3_rdataLQ(63, 56)
1232  ))
1233  val s3_rdataPartialLoadLQ = rdataHelper(s3_loadDataFromLQ.uop, s3_rdataSelLQ)
1234
1235  // data from dcache hit
1236  val s3_loadDataFromDcache = load_s2.io.loadDataFromDcache
1237  val s3_rdataDcache = s3_loadDataFromDcache.mergedData()
1238  val s3_rdataSelDcache = LookupTree(s3_loadDataFromDcache.addrOffset, List(
1239    "b000".U -> s3_rdataDcache(63,  0),
1240    "b001".U -> s3_rdataDcache(63,  8),
1241    "b010".U -> s3_rdataDcache(63, 16),
1242    "b011".U -> s3_rdataDcache(63, 24),
1243    "b100".U -> s3_rdataDcache(63, 32),
1244    "b101".U -> s3_rdataDcache(63, 40),
1245    "b110".U -> s3_rdataDcache(63, 48),
1246    "b111".U -> s3_rdataDcache(63, 56)
1247  ))
1248  val s3_rdataPartialLoadDcache = rdataHelper(s3_loadDataFromDcache.uop, s3_rdataSelDcache)
1249
1250  // FIXME: add 1 cycle delay ?
1251  io.loadOut.bits := s3_loadWbMeta
1252  io.loadOut.bits.data := Mux(hitLoadOut.valid, s3_rdataPartialLoadDcache, s3_rdataPartialLoadLQ)
1253  io.loadOut.valid := hitLoadOut.valid && !hitLoadOut.bits.uop.robIdx.needFlush(io.redirect) ||
1254                    io.lsq.loadOut.valid && !io.lsq.loadOut.bits.uop.robIdx.needFlush(io.redirect) && !hitLoadOut.valid
1255
1256  io.lsq.loadOut.ready := !hitLoadOut.valid
1257
1258  // fast load to load forward
1259  io.fastpathOut.valid := hitLoadOut.valid // for debug only
1260  io.fastpathOut.data := s3_loadDataFromDcache.mergedData() // fastpath is for ld only
1261
1262   // trigger
1263  val lastValidData = RegNext(RegEnable(io.loadOut.bits.data, io.loadOut.fire))
1264  val hitLoadAddrTriggerHitVec = Wire(Vec(3, Bool()))
1265  val lqLoadAddrTriggerHitVec = io.lsq.trigger.lqLoadAddrTriggerHitVec
1266  (0 until 3).map{i => {
1267    val tdata2 = RegNext(io.trigger(i).tdata2)
1268    val matchType = RegNext(io.trigger(i).matchType)
1269    val tEnable = RegNext(io.trigger(i).tEnable)
1270
1271    hitLoadAddrTriggerHitVec(i) := TriggerCmp(RegNext(load_s2.io.out.bits.vaddr), tdata2, matchType, tEnable)
1272    io.trigger(i).addrHit := Mux(hitLoadOut.valid, hitLoadAddrTriggerHitVec(i), lqLoadAddrTriggerHitVec(i))
1273    io.trigger(i).lastDataHit := TriggerCmp(lastValidData, tdata2, matchType, tEnable)
1274  }}
1275  io.lsq.trigger.hitLoadAddrTriggerHitVec := hitLoadAddrTriggerHitVec
1276
1277  // FIXME: please move this part to LoadQueueReplay
1278  io.debug_ls := DontCare
1279  // io.debug_ls.s1.isBankConflict := load_s1.io.in.fire && (!load_s1.io.dcacheKill && load_s1.io.dcacheBankConflict)
1280  // io.debug_ls.s1.isLoadToLoadForward := load_s1.io.out.valid && s1_tryPointerChasing && !cancelPointerChasing
1281  // io.debug_ls.s1.isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue
1282  // io.debug_ls.s1.isReplayFast := io.lsq.replayFast.valid && io.lsq.replayFast.needreplay
1283  // io.debug_ls.s1_robIdx := load_s1.io.in.bits.uop.robIdx.value
1284  // // s2
1285  // io.debug_ls.s2.isDcacheFirstMiss := load_s2.io.in.fire && load_s2.io.in.bits.isFirstIssue && load_s2.io.dcacheResp.bits.miss
1286  // io.debug_ls.s2.isForwardFail := load_s2.io.in.fire && load_s2.io.s2_forward_fail
1287  // io.debug_ls.s2.isReplaySlow := io.lsq.replaySlow.valid && io.lsq.replaySlow.needreplay
1288  // io.debug_ls.s2.isLoadReplayTLBMiss := io.lsq.replaySlow.valid && !io.lsq.replaySlow.tlb_hited
1289  // io.debug_ls.s2.isLoadReplayCacheMiss := io.lsq.replaySlow.valid && !io.lsq.replaySlow.cache_hited
1290  // io.debug_ls.replayCnt := DontCare
1291  // io.debug_ls.s2_robIdx := load_s2.io.in.bits.uop.robIdx.value
1292
1293  // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design
1294  // hardware performance counter
1295  val perfEvents = Seq(
1296    ("load_s0_in_fire         ", load_s0.io.in.fire                                                                                                              ),
1297    ("load_to_load_forward    ", load_s1.io.out.valid && s1_tryPointerChasing && !cancelPointerChasing                                                           ),
1298    ("stall_dcache            ", load_s0.io.out.valid && load_s0.io.out.ready && !load_s0.io.dcacheReq.ready                                                     ),
1299    ("load_s1_in_fire         ", load_s1.io.in.fire                                                                                                              ),
1300    ("load_s1_tlb_miss        ", load_s1.io.in.fire && load_s1.io.dtlbResp.bits.miss                                                                             ),
1301    ("load_s2_in_fire         ", load_s2.io.in.fire                                                                                                              ),
1302    ("load_s2_dcache_miss     ", load_s2.io.in.fire && load_s2.io.dcacheResp.bits.miss                                                                           ),
1303  )
1304  generatePerfEvent()
1305
1306  when(io.loadOut.fire){
1307    XSDebug("loadOut %x\n", io.loadOut.bits.uop.pc)
1308  }
1309}
1310