xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/LoadUnit.scala (revision 7a2fc509e2d355879c4db3dc3f17a6ccacd3d09e)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import xiangshan.ExceptionNO._
24import xiangshan._
25import xiangshan.backend.fu.PMPRespBundle
26import xiangshan.cache._
27import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
28
29class LoadToLsqIO(implicit p: Parameters) extends XSBundle {
30  val loadIn = ValidIO(new LsPipelineBundle)
31  val ldout = Flipped(DecoupledIO(new ExuOutput))
32  val loadDataForwarded = Output(Bool())
33  val dcacheRequireReplay = Output(Bool())
34  val forward = new PipeLoadForwardQueryIO
35  val loadViolationQuery = new LoadViolationQueryIO
36  val trigger = Flipped(new LqTriggerIO)
37}
38
39class LoadToLoadIO(implicit p: Parameters) extends XSBundle {
40  // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
41  val data = UInt(XLEN.W)
42  val valid = Bool()
43}
44
45class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
46  val tdata2 = Input(UInt(64.W))
47  val matchType = Input(UInt(2.W))
48  val tEnable = Input(Bool()) // timing is calculated before this
49  val addrHit = Output(Bool())
50  val lastDataHit = Output(Bool())
51}
52
53// Load Pipeline Stage 0
54// Generate addr, use addr to query DCache and DTLB
55class LoadUnit_S0(implicit p: Parameters) extends XSModule with HasDCacheParameters{
56  val io = IO(new Bundle() {
57    val in = Flipped(Decoupled(new ExuInput))
58    val out = Decoupled(new LsPipelineBundle)
59    val fastpath = Input(Vec(LoadPipelineWidth, new LoadToLoadIO))
60    val dtlbReq = DecoupledIO(new TlbReq)
61    val dcacheReq = DecoupledIO(new DCacheWordReq)
62    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
63    val isFirstIssue = Input(Bool())
64    val loadFastMatch = Input(UInt(exuParameters.LduCnt.W))
65  })
66  require(LoadPipelineWidth == exuParameters.LduCnt)
67
68  val s0_uop = io.in.bits.uop
69  val imm12 = WireInit(s0_uop.ctrl.imm(11,0))
70
71  val s0_vaddr = WireInit(io.in.bits.src(0) + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits))
72  val s0_mask = WireInit(genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0)))
73
74  if (EnableLoadToLoadForward) {
75    // slow vaddr from non-load insts
76    val slowpath_vaddr = io.in.bits.src(0) + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits)
77    val slowpath_mask = genWmask(slowpath_vaddr, s0_uop.ctrl.fuOpType(1,0))
78
79    // fast vaddr from load insts
80    val fastpath_vaddrs = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => {
81      io.fastpath(i).data + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits)
82    })))
83    val fastpath_masks = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => {
84      genWmask(fastpath_vaddrs(i), s0_uop.ctrl.fuOpType(1,0))
85    })))
86    val fastpath_vaddr = Mux1H(io.loadFastMatch, fastpath_vaddrs)
87    val fastpath_mask  = Mux1H(io.loadFastMatch, fastpath_masks)
88
89    // select vaddr from 2 alus
90    s0_vaddr := Mux(io.loadFastMatch.orR, fastpath_vaddr, slowpath_vaddr)
91    s0_mask  := Mux(io.loadFastMatch.orR, fastpath_mask, slowpath_mask)
92    XSPerfAccumulate("load_to_load_forward", io.loadFastMatch.orR && io.in.fire())
93  }
94
95  val isSoftPrefetch = LSUOpType.isPrefetch(s0_uop.ctrl.fuOpType)
96  val isSoftPrefetchRead = s0_uop.ctrl.fuOpType === LSUOpType.prefetch_r
97  val isSoftPrefetchWrite = s0_uop.ctrl.fuOpType === LSUOpType.prefetch_w
98
99  // query DTLB
100  io.dtlbReq.valid := io.in.valid
101  io.dtlbReq.bits.vaddr := s0_vaddr
102  io.dtlbReq.bits.cmd := TlbCmd.read
103  io.dtlbReq.bits.size := LSUOpType.size(io.in.bits.uop.ctrl.fuOpType)
104  io.dtlbReq.bits.robIdx := s0_uop.robIdx
105  io.dtlbReq.bits.debug.pc := s0_uop.cf.pc
106  io.dtlbReq.bits.debug.isFirstIssue := io.isFirstIssue
107
108  // query DCache
109  io.dcacheReq.valid := io.in.valid
110  when (isSoftPrefetchRead) {
111    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFR
112  }.elsewhen (isSoftPrefetchWrite) {
113    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_PFW
114  }.otherwise {
115    io.dcacheReq.bits.cmd  := MemoryOpConstants.M_XRD
116  }
117  io.dcacheReq.bits.addr := s0_vaddr
118  io.dcacheReq.bits.mask := s0_mask
119  io.dcacheReq.bits.data := DontCare
120  when(isSoftPrefetch) {
121    io.dcacheReq.bits.instrtype := SOFT_PREFETCH.U
122  }.otherwise {
123    io.dcacheReq.bits.instrtype := LOAD_SOURCE.U
124  }
125
126  // TODO: update cache meta
127  io.dcacheReq.bits.id   := DontCare
128
129  val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List(
130    "b00".U   -> true.B,                   //b
131    "b01".U   -> (s0_vaddr(0)    === 0.U), //h
132    "b10".U   -> (s0_vaddr(1, 0) === 0.U), //w
133    "b11".U   -> (s0_vaddr(2, 0) === 0.U)  //d
134  ))
135
136  io.out.valid := io.in.valid && io.dcacheReq.ready
137
138  io.out.bits := DontCare
139  io.out.bits.vaddr := s0_vaddr
140  io.out.bits.mask := s0_mask
141  io.out.bits.uop := s0_uop
142  io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned
143  io.out.bits.rsIdx := io.rsIdx
144  io.out.bits.isFirstIssue := io.isFirstIssue
145  io.out.bits.isSoftPrefetch := isSoftPrefetch
146
147  io.in.ready := !io.in.valid || (io.out.ready && io.dcacheReq.ready)
148
149  XSDebug(io.dcacheReq.fire(),
150    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n"
151  )
152  XSPerfAccumulate("in_valid", io.in.valid)
153  XSPerfAccumulate("in_fire", io.in.fire)
154  XSPerfAccumulate("in_fire_first_issue", io.in.valid && io.isFirstIssue)
155  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready && io.dcacheReq.ready)
156  XSPerfAccumulate("stall_dcache", io.out.valid && io.out.ready && !io.dcacheReq.ready)
157  XSPerfAccumulate("addr_spec_success", io.out.fire() && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12))
158  XSPerfAccumulate("addr_spec_failed", io.out.fire() && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12))
159  XSPerfAccumulate("addr_spec_success_once", io.out.fire() && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue)
160  XSPerfAccumulate("addr_spec_failed_once", io.out.fire() && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue)
161}
162
163
164// Load Pipeline Stage 1
165// TLB resp (send paddr to dcache)
166class LoadUnit_S1(implicit p: Parameters) extends XSModule {
167  val io = IO(new Bundle() {
168    val in = Flipped(Decoupled(new LsPipelineBundle))
169    val out = Decoupled(new LsPipelineBundle)
170    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
171    val dcachePAddr = Output(UInt(PAddrBits.W))
172    val dcacheKill = Output(Bool())
173    val fastUopKill = Output(Bool())
174    val dcacheBankConflict = Input(Bool())
175    val fullForwardFast = Output(Bool())
176    val sbuffer = new LoadForwardQueryIO
177    val lsq = new PipeLoadForwardQueryIO
178    val loadViolationQueryReq = Decoupled(new LoadViolationQueryReq)
179    val rsFeedback = ValidIO(new RSFeedback)
180    val csrCtrl = Flipped(new CustomCSRCtrlIO)
181    val needLdVioCheckRedo = Output(Bool())
182  })
183
184  val s1_uop = io.in.bits.uop
185  val s1_paddr = io.dtlbResp.bits.paddr
186  // af & pf exception were modified below.
187  val s1_exception = ExceptionNO.selectByFu(io.out.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR
188  val s1_tlb_miss = io.dtlbResp.bits.miss
189  val s1_mask = io.in.bits.mask
190  val s1_bank_conflict = io.dcacheBankConflict
191
192  io.out.bits := io.in.bits // forwardXX field will be updated in s1
193
194  io.dtlbResp.ready := true.B
195
196  // TOOD: PMA check
197  io.dcachePAddr := s1_paddr
198  //io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio
199  io.dcacheKill := s1_tlb_miss || s1_exception
200  io.fastUopKill := io.dtlbResp.bits.fast_miss || s1_exception
201
202  // load forward query datapath
203  io.sbuffer.valid := io.in.valid && !(s1_exception || s1_tlb_miss)
204  io.sbuffer.vaddr := io.in.bits.vaddr
205  io.sbuffer.paddr := s1_paddr
206  io.sbuffer.uop := s1_uop
207  io.sbuffer.sqIdx := s1_uop.sqIdx
208  io.sbuffer.mask := s1_mask
209  io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it
210
211  io.lsq.valid := io.in.valid && !(s1_exception || s1_tlb_miss)
212  io.lsq.vaddr := io.in.bits.vaddr
213  io.lsq.paddr := s1_paddr
214  io.lsq.uop := s1_uop
215  io.lsq.sqIdx := s1_uop.sqIdx
216  io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0
217  io.lsq.mask := s1_mask
218  io.lsq.pc := s1_uop.cf.pc // FIXME: remove it
219
220  // ld-ld violation query
221  io.loadViolationQueryReq.valid := io.in.valid && !(s1_exception || s1_tlb_miss)
222  io.loadViolationQueryReq.bits.paddr := s1_paddr
223  io.loadViolationQueryReq.bits.uop := s1_uop
224
225  // Generate forwardMaskFast to wake up insts earlier
226  val forwardMaskFast = io.lsq.forwardMaskFast.asUInt | io.sbuffer.forwardMaskFast.asUInt
227  io.fullForwardFast := (~forwardMaskFast & s1_mask) === 0.U
228
229  // Generate feedback signal caused by:
230  // * dcache bank conflict
231  // * need redo ld-ld violation check
232  val needLdVioCheckRedo = io.loadViolationQueryReq.valid &&
233    !io.loadViolationQueryReq.ready &&
234    RegNext(io.csrCtrl.ldld_vio_check_enable)
235  io.needLdVioCheckRedo := needLdVioCheckRedo
236  io.rsFeedback.valid := io.in.valid && (s1_bank_conflict || needLdVioCheckRedo)
237  io.rsFeedback.bits.hit := false.B // we have found s1_bank_conflict / re do ld-ld violation check
238  io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx
239  io.rsFeedback.bits.flushState := io.in.bits.ptwBack
240  io.rsFeedback.bits.sourceType := Mux(s1_bank_conflict, RSFeedbackType.bankConflict, RSFeedbackType.ldVioCheckRedo)
241  io.rsFeedback.bits.dataInvalidSqIdx := DontCare
242
243  // if replay is detected in load_s1,
244  // load inst will be canceled immediately
245  io.out.valid := io.in.valid && !io.rsFeedback.valid
246  io.out.bits.paddr := s1_paddr
247  io.out.bits.tlbMiss := s1_tlb_miss
248
249  // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
250  // af & pf exception were modified
251  io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld
252  io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp.af.ld
253
254  io.out.bits.ptwBack := io.dtlbResp.bits.ptwBack
255  io.out.bits.rsIdx := io.in.bits.rsIdx
256
257  io.out.bits.isSoftPrefetch := io.in.bits.isSoftPrefetch
258
259  io.in.ready := !io.in.valid || io.out.ready
260
261  XSPerfAccumulate("in_valid", io.in.valid)
262  XSPerfAccumulate("in_fire", io.in.fire)
263  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
264  XSPerfAccumulate("tlb_miss", io.in.fire && s1_tlb_miss)
265  XSPerfAccumulate("tlb_miss_first_issue", io.in.fire && s1_tlb_miss && io.in.bits.isFirstIssue)
266  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
267}
268
269// Load Pipeline Stage 2
270// DCache resp
271class LoadUnit_S2(implicit p: Parameters) extends XSModule with HasLoadHelper {
272  val io = IO(new Bundle() {
273    val in = Flipped(Decoupled(new LsPipelineBundle))
274    val out = Decoupled(new LsPipelineBundle)
275    val rsFeedback = ValidIO(new RSFeedback)
276    val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp))
277    val pmpResp = Flipped(new PMPRespBundle())
278    val lsq = new LoadForwardQueryIO
279    val dataInvalidSqIdx = Input(UInt())
280    val sbuffer = new LoadForwardQueryIO
281    val dataForwarded = Output(Bool())
282    val dcacheRequireReplay = Output(Bool())
283    val fullForward = Output(Bool())
284    val fastpath = Output(new LoadToLoadIO)
285    val dcache_kill = Output(Bool())
286    val loadViolationQueryResp = Flipped(Valid(new LoadViolationQueryResp))
287    val csrCtrl = Flipped(new CustomCSRCtrlIO)
288    val sentFastUop = Input(Bool())
289    val static_pm = Input(Valid(Bool())) // valid for static, bits for mmio
290  })
291
292  val pmp = WireInit(io.pmpResp)
293  when (io.static_pm.valid) {
294    pmp.ld := false.B
295    pmp.st := false.B
296    pmp.instr := false.B
297    pmp.mmio := io.static_pm.bits
298  }
299
300  val s2_is_prefetch = io.in.bits.isSoftPrefetch
301
302  // exception that may cause load addr to be invalid / illegal
303  //
304  // if such exception happen, that inst and its exception info
305  // will be force writebacked to rob
306  val s2_exception_vec = WireInit(io.in.bits.uop.cf.exceptionVec)
307  s2_exception_vec(loadAccessFault) := io.in.bits.uop.cf.exceptionVec(loadAccessFault) || pmp.ld
308  // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered)
309  when (s2_is_prefetch) {
310    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
311  }
312  val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, lduCfg).asUInt.orR
313
314  // s2_exception_vec add exception caused by ecc error
315  //
316  // ecc data error is slow to generate, so we will not use it until the last moment
317  // (s2_exception_with_error_vec is the final output: io.out.bits.uop.cf.exceptionVec)
318  val s2_exception_with_error_vec = WireInit(s2_exception_vec)
319  // now cache ecc error will raise an access fault
320  // at the same time, error info (including error paddr) will be write to
321  // an customized CSR "CACHE_ERROR"
322  s2_exception_with_error_vec(loadAccessFault) := s2_exception_vec(loadAccessFault) ||
323    io.dcacheResp.bits.error &&
324    io.csrCtrl.cache_error_enable
325  val debug_s2_exception_with_error = ExceptionNO.selectByFu(s2_exception_with_error_vec, lduCfg).asUInt.orR
326
327  val actually_mmio = pmp.mmio
328  val s2_uop = io.in.bits.uop
329  val s2_mask = io.in.bits.mask
330  val s2_paddr = io.in.bits.paddr
331  val s2_tlb_miss = io.in.bits.tlbMiss
332  val s2_mmio = !s2_is_prefetch && actually_mmio && !s2_exception
333  val s2_cache_miss = io.dcacheResp.bits.miss
334  val s2_cache_replay = io.dcacheResp.bits.replay
335  val s2_cache_tag_error = io.dcacheResp.bits.tag_error
336  val s2_cache_error = io.dcacheResp.bits.error
337  val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid
338  val s2_ldld_violation = io.loadViolationQueryResp.valid &&
339    io.loadViolationQueryResp.bits.have_violation &&
340    RegNext(io.csrCtrl.ldld_vio_check_enable)
341  val s2_data_invalid = io.lsq.dataInvalid && !s2_forward_fail && !s2_ldld_violation && !s2_exception
342
343  io.dcache_kill := pmp.ld || pmp.mmio // move pmp resp kill to outside
344  io.dcacheResp.ready := true.B
345  val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio || s2_is_prefetch)
346  assert(!(io.in.valid && (dcacheShouldResp && !io.dcacheResp.valid)), "DCache response got lost")
347
348  // merge forward result
349  // lsq has higher priority than sbuffer
350  val forwardMask = Wire(Vec(8, Bool()))
351  val forwardData = Wire(Vec(8, UInt(8.W)))
352
353  val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U && !io.lsq.dataInvalid
354  io.lsq := DontCare
355  io.sbuffer := DontCare
356  io.fullForward := fullForward
357
358  // generate XLEN/8 Muxs
359  for (i <- 0 until XLEN / 8) {
360    forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i)
361    forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i))
362  }
363
364  XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
365    s2_uop.cf.pc,
366    io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt,
367    io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt
368  )
369
370  // data merge
371  val rdataVec = VecInit((0 until XLEN / 8).map(j =>
372    Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j))))
373  val rdata = rdataVec.asUInt
374  val rdataSel = LookupTree(s2_paddr(2, 0), List(
375    "b000".U -> rdata(63, 0),
376    "b001".U -> rdata(63, 8),
377    "b010".U -> rdata(63, 16),
378    "b011".U -> rdata(63, 24),
379    "b100".U -> rdata(63, 32),
380    "b101".U -> rdata(63, 40),
381    "b110".U -> rdata(63, 48),
382    "b111".U -> rdata(63, 56)
383  ))
384  val rdataPartialLoad = rdataHelper(s2_uop, rdataSel)
385
386  io.out.valid := io.in.valid && !s2_tlb_miss && !s2_data_invalid
387  // Inst will be canceled in store queue / lsq,
388  // so we do not need to care about flush in load / store unit's out.valid
389  io.out.bits := io.in.bits
390  io.out.bits.data := rdataPartialLoad
391  // when exception occurs, set it to not miss and let it write back to rob (via int port)
392  if (EnableFastForward) {
393    io.out.bits.miss := s2_cache_miss &&
394      !s2_exception &&
395      !s2_forward_fail &&
396      !s2_ldld_violation &&
397      !fullForward &&
398      !s2_is_prefetch
399  } else {
400    io.out.bits.miss := s2_cache_miss &&
401      !s2_exception &&
402      !s2_forward_fail &&
403      !s2_ldld_violation &&
404      !s2_is_prefetch
405  }
406  io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception
407  // if forward fail, replay this inst from fetch
408  val forwardFailReplay = s2_forward_fail && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
409  // if ld-ld violation is detected, replay from this inst from fetch
410  val ldldVioReplay = s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
411  val s2_need_replay_from_fetch = (s2_forward_fail || s2_ldld_violation) && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss
412  io.out.bits.uop.ctrl.replayInst := s2_need_replay_from_fetch
413  io.out.bits.mmio := s2_mmio
414  io.out.bits.uop.ctrl.flushPipe := s2_mmio && io.sentFastUop
415  io.out.bits.uop.cf.exceptionVec := s2_exception_with_error_vec
416
417  // For timing reasons, sometimes we can not let
418  // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward
419  // We use io.dataForwarded instead. It means:
420  // 1. Forward logic have prepared all data needed,
421  //    and dcache query is no longer needed.
422  // 2. ... or data cache tag error is detected, this kind of inst
423  //    will not update miss queue. That is to say, if miss, that inst
424  //    may not be refilled
425  // Such inst will be writebacked from load queue.
426  io.dataForwarded := s2_cache_miss && !s2_exception && !s2_forward_fail &&
427    (fullForward || io.csrCtrl.cache_error_enable && s2_cache_tag_error)
428  // io.out.bits.forwardX will be send to lq
429  io.out.bits.forwardMask := forwardMask
430  // data retbrived from dcache is also included in io.out.bits.forwardData
431  io.out.bits.forwardData := rdataVec
432
433  io.in.ready := io.out.ready || !io.in.valid
434
435  // feedback tlb result to RS
436  io.rsFeedback.valid := io.in.valid
437  val s2_need_replay_from_rs = Wire(Bool())
438  if (EnableFastForward) {
439    s2_need_replay_from_rs :=
440      s2_tlb_miss || // replay if dtlb miss
441      s2_cache_replay && !s2_is_prefetch && !s2_forward_fail && !s2_ldld_violation && !s2_mmio && !s2_exception && !fullForward || // replay if dcache miss queue full / busy
442      s2_data_invalid && !s2_is_prefetch && !s2_forward_fail && !s2_ldld_violation // replay if store to load forward data is not ready
443  } else {
444    // Note that if all parts of data are available in sq / sbuffer, replay required by dcache will not be scheduled
445    s2_need_replay_from_rs :=
446      s2_tlb_miss || // replay if dtlb miss
447      s2_cache_replay && !s2_is_prefetch && !s2_forward_fail && !s2_ldld_violation && !s2_mmio && !s2_exception && !io.dataForwarded || // replay if dcache miss queue full / busy
448      s2_data_invalid && !s2_is_prefetch && !s2_forward_fail && !s2_ldld_violation // replay if store to load forward data is not ready
449  }
450  assert(!RegNext(io.in.valid && s2_need_replay_from_rs && s2_need_replay_from_fetch))
451  io.rsFeedback.bits.hit := !s2_need_replay_from_rs
452  io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx
453  io.rsFeedback.bits.flushState := io.in.bits.ptwBack
454  // feedback source priority: tlbMiss > dataInvalid > mshrFull
455  // general case priority: tlbMiss > exception (include forward_fail / ldld_violation) > mmio > dataInvalid > mshrFull > normal miss / hit
456  io.rsFeedback.bits.sourceType := Mux(s2_tlb_miss, RSFeedbackType.tlbMiss,
457    Mux(s2_data_invalid,
458      RSFeedbackType.dataInvalid,
459      RSFeedbackType.mshrFull
460    )
461  )
462  io.rsFeedback.bits.dataInvalidSqIdx.value := io.dataInvalidSqIdx
463  io.rsFeedback.bits.dataInvalidSqIdx.flag := DontCare
464
465  // s2_cache_replay is quite slow to generate, send it separately to LQ
466  if (EnableFastForward) {
467    io.dcacheRequireReplay := s2_cache_replay && !fullForward
468  } else {
469    io.dcacheRequireReplay := s2_cache_replay &&
470      !io.rsFeedback.bits.hit &&
471      !io.dataForwarded &&
472      !s2_is_prefetch &&
473      io.out.bits.miss
474  }
475
476  // fast load to load forward
477  io.fastpath.valid := io.in.valid // for debug only
478  io.fastpath.data := rdata // raw data
479
480
481  XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n",
482    s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data,
483    forwardData.asUInt, forwardMask.asUInt
484  )
485
486  XSPerfAccumulate("in_valid", io.in.valid)
487  XSPerfAccumulate("in_fire", io.in.fire)
488  XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue)
489  XSPerfAccumulate("dcache_miss", io.in.fire && s2_cache_miss)
490  XSPerfAccumulate("dcache_miss_first_issue", io.in.fire && s2_cache_miss && io.in.bits.isFirstIssue)
491  XSPerfAccumulate("full_forward", io.in.valid && fullForward)
492  XSPerfAccumulate("dcache_miss_full_forward", io.in.valid && s2_cache_miss && fullForward)
493  XSPerfAccumulate("replay",  io.rsFeedback.valid && !io.rsFeedback.bits.hit)
494  XSPerfAccumulate("replay_tlb_miss", io.rsFeedback.valid && !io.rsFeedback.bits.hit && s2_tlb_miss)
495  XSPerfAccumulate("replay_cache", io.rsFeedback.valid && !io.rsFeedback.bits.hit && !s2_tlb_miss && s2_cache_replay)
496  XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready)
497  XSPerfAccumulate("replay_from_fetch_forward", io.out.valid && forwardFailReplay)
498  XSPerfAccumulate("replay_from_fetch_load_vio", io.out.valid && ldldVioReplay)
499}
500
501class LoadUnit(implicit p: Parameters) extends XSModule
502  with HasLoadHelper
503  with HasPerfEvents
504  with HasDCacheParameters
505{
506  val io = IO(new Bundle() {
507    val ldin = Flipped(Decoupled(new ExuInput))
508    val ldout = Decoupled(new ExuOutput)
509    val redirect = Flipped(ValidIO(new Redirect))
510    val feedbackSlow = ValidIO(new RSFeedback)
511    val feedbackFast = ValidIO(new RSFeedback)
512    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
513    val isFirstIssue = Input(Bool())
514    val dcache = new DCacheLoadIO
515    val sbuffer = new LoadForwardQueryIO
516    val lsq = new LoadToLsqIO
517    val refill = Flipped(ValidIO(new Refill))
518    val fastUop = ValidIO(new MicroOp) // early wakeup signal generated in load_s1
519    val trigger = Vec(3, new LoadUnitTriggerIO)
520
521    val tlb = new TlbRequestIO
522    val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now
523
524    val fastpathOut = Output(new LoadToLoadIO)
525    val fastpathIn = Input(Vec(LoadPipelineWidth, new LoadToLoadIO))
526    val loadFastMatch = Input(UInt(exuParameters.LduCnt.W))
527
528    val csrCtrl = Flipped(new CustomCSRCtrlIO)
529  })
530
531  val load_s0 = Module(new LoadUnit_S0)
532  val load_s1 = Module(new LoadUnit_S1)
533  val load_s2 = Module(new LoadUnit_S2)
534
535  load_s0.io.in <> io.ldin
536  load_s0.io.dtlbReq <> io.tlb.req
537  load_s0.io.dcacheReq <> io.dcache.req
538  load_s0.io.rsIdx := io.rsIdx
539  load_s0.io.isFirstIssue := io.isFirstIssue
540  load_s0.io.fastpath := io.fastpathIn
541  load_s0.io.loadFastMatch := io.loadFastMatch
542
543  PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, load_s0.io.out.bits.uop.robIdx.needFlush(io.redirect))
544
545  load_s1.io.dtlbResp <> io.tlb.resp
546  io.dcache.s1_paddr <> load_s1.io.dcachePAddr
547  io.dcache.s1_kill <> load_s1.io.dcacheKill
548  load_s1.io.sbuffer <> io.sbuffer
549  load_s1.io.lsq <> io.lsq.forward
550  load_s1.io.loadViolationQueryReq <> io.lsq.loadViolationQuery.req
551  load_s1.io.dcacheBankConflict <> io.dcache.s1_bank_conflict
552  load_s1.io.csrCtrl <> io.csrCtrl
553
554  PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect))
555
556  io.dcache.s2_kill := load_s2.io.dcache_kill // to kill mmio resp which are redirected
557  load_s2.io.dcacheResp <> io.dcache.resp
558  load_s2.io.pmpResp <> io.pmp
559  load_s2.io.static_pm := RegNext(io.tlb.resp.bits.static_pm)
560  load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData
561  load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask
562  load_s2.io.lsq.forwardMaskFast <> io.lsq.forward.forwardMaskFast // should not be used in load_s2
563  load_s2.io.lsq.dataInvalid <> io.lsq.forward.dataInvalid
564  load_s2.io.lsq.matchInvalid <> io.lsq.forward.matchInvalid
565  load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData
566  load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask
567  load_s2.io.sbuffer.forwardMaskFast <> io.sbuffer.forwardMaskFast // should not be used in load_s2
568  load_s2.io.sbuffer.dataInvalid <> io.sbuffer.dataInvalid // always false
569  load_s2.io.sbuffer.matchInvalid <> io.sbuffer.matchInvalid
570  load_s2.io.dataForwarded <> io.lsq.loadDataForwarded
571  load_s2.io.fastpath <> io.fastpathOut
572  load_s2.io.dataInvalidSqIdx := io.lsq.forward.dataInvalidSqIdx // provide dataInvalidSqIdx to make wakeup faster
573  load_s2.io.loadViolationQueryResp <> io.lsq.loadViolationQuery.resp
574  load_s2.io.csrCtrl <> io.csrCtrl
575  load_s2.io.sentFastUop := RegEnable(io.fastUop.valid, load_s1.io.out.fire()) // RegNext is also ok
576  io.lsq.dcacheRequireReplay := load_s2.io.dcacheRequireReplay
577
578  // feedback tlb miss / dcache miss queue full
579  io.feedbackSlow.valid := RegNext(load_s2.io.rsFeedback.valid && !load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect))
580  io.feedbackSlow.bits := RegNext(load_s2.io.rsFeedback.bits)
581  val s3_replay_for_mshrfull = RegNext(!load_s2.io.rsFeedback.bits.hit && load_s2.io.rsFeedback.bits.sourceType === RSFeedbackType.mshrFull)
582  val s3_refill_hit_load_paddr = refill_addr_hit(RegNext(load_s2.io.out.bits.paddr), io.refill.bits.addr)
583  // update replay request
584  io.feedbackSlow.bits.hit := RegNext(load_s2.io.rsFeedback.bits).hit ||
585    s3_refill_hit_load_paddr && s3_replay_for_mshrfull
586
587  // feedback bank conflict to rs
588  io.feedbackFast.bits := load_s1.io.rsFeedback.bits
589  io.feedbackFast.valid := load_s1.io.rsFeedback.valid
590  // If replay is reported at load_s1, inst will be canceled (will not enter load_s2),
591  // in that case:
592  // * replay should not be reported twice
593  assert(!(RegNext(RegNext(io.feedbackFast.valid)) && io.feedbackSlow.valid))
594  // * io.fastUop.valid should not be reported
595  assert(!RegNext(io.feedbackFast.valid && io.fastUop.valid))
596
597  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
598  val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.in.bits.uop.sqIdx.value, StoreQueueSize))
599  io.lsq.forward.sqIdxMask := sqIdxMaskReg
600
601  // // use s2_hit_way to select data received in s1
602  // load_s2.io.dcacheResp.bits.data := Mux1H(RegNext(io.dcache.s1_hit_way), RegNext(io.dcache.s1_data))
603  // assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data)
604
605  io.fastUop.valid := io.dcache.s1_hit_way.orR && // dcache hit
606    !io.dcache.s1_disable_fast_wakeup &&  // load fast wakeup should be disabled when dcache data read is not ready
607    load_s1.io.in.valid && // valid laod request
608    !load_s1.io.fastUopKill && // not mmio or tlb miss
609    !io.lsq.forward.dataInvalidFast && // forward failed
610    !load_s1.io.needLdVioCheckRedo // load-load violation check: load paddr cam struct hazard
611  io.fastUop.bits := load_s1.io.out.bits.uop
612
613  XSDebug(load_s0.io.out.valid,
614    p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " +
615    p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n")
616  XSDebug(load_s1.io.out.valid,
617    p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
618    p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n")
619
620  // writeback to LSQ
621  // Current dcache use MSHR
622  // Load queue will be updated at s2 for both hit/miss int/fp load
623  io.lsq.loadIn.valid := load_s2.io.out.valid
624  io.lsq.loadIn.bits := load_s2.io.out.bits
625
626  // write to rob and writeback bus
627  val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss && !load_s2.io.out.bits.mmio
628
629  // Int load, if hit, will be writebacked at s2
630  val hitLoadOut = Wire(Valid(new ExuOutput))
631  hitLoadOut.valid := s2_wb_valid
632  hitLoadOut.bits.uop := load_s2.io.out.bits.uop
633  hitLoadOut.bits.data := load_s2.io.out.bits.data
634  hitLoadOut.bits.redirectValid := false.B
635  hitLoadOut.bits.redirect := DontCare
636  hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio
637  hitLoadOut.bits.debug.isPerfCnt := false.B
638  hitLoadOut.bits.debug.paddr := load_s2.io.out.bits.paddr
639  hitLoadOut.bits.debug.vaddr := load_s2.io.out.bits.vaddr
640  hitLoadOut.bits.fflags := DontCare
641
642  load_s2.io.out.ready := true.B
643
644  io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits)
645  io.ldout.valid := hitLoadOut.valid || io.lsq.ldout.valid
646
647  io.lsq.ldout.ready := !hitLoadOut.valid
648
649  when(io.feedbackSlow.valid && !io.feedbackSlow.bits.hit){
650    // when need replay from rs, inst should not be writebacked to rob
651    assert(RegNext(!hitLoadOut.valid))
652    // when need replay from rs
653    // * inst should not be writebacked to lq, or
654    // * lq state will be updated in load_s3 (next cycle)
655    assert(RegNext(!io.lsq.loadIn.valid) || RegNext(load_s2.io.dcacheRequireReplay))
656  }
657
658  val lastValidData = RegEnable(io.ldout.bits.data, io.ldout.fire())
659  val hitLoadAddrTriggerHitVec = Wire(Vec(3, Bool()))
660  val lqLoadAddrTriggerHitVec = io.lsq.trigger.lqLoadAddrTriggerHitVec
661  (0 until 3).map{i => {
662    val tdata2 = io.trigger(i).tdata2
663    val matchType = io.trigger(i).matchType
664    val tEnable = io.trigger(i).tEnable
665
666    hitLoadAddrTriggerHitVec(i) := TriggerCmp(load_s2.io.out.bits.vaddr, tdata2, matchType, tEnable)
667    io.trigger(i).addrHit := Mux(hitLoadOut.valid, hitLoadAddrTriggerHitVec(i), lqLoadAddrTriggerHitVec(i))
668    io.trigger(i).lastDataHit := TriggerCmp(lastValidData, tdata2, matchType, tEnable)
669  }}
670  io.lsq.trigger.hitLoadAddrTriggerHitVec := hitLoadAddrTriggerHitVec
671
672  val perfEvents = Seq(
673    ("load_s0_in_fire         ", load_s0.io.in.fire()                                                                                                            ),
674    ("load_to_load_forward    ", load_s0.io.loadFastMatch.orR && load_s0.io.in.fire()                                                                            ),
675    ("stall_dcache            ", load_s0.io.out.valid && load_s0.io.out.ready && !load_s0.io.dcacheReq.ready                                                     ),
676    ("addr_spec_success       ", load_s0.io.out.fire() && load_s0.io.dtlbReq.bits.vaddr(VAddrBits-1, 12) === load_s0.io.in.bits.src(0)(VAddrBits-1, 12)          ),
677    ("addr_spec_failed        ", load_s0.io.out.fire() && load_s0.io.dtlbReq.bits.vaddr(VAddrBits-1, 12) =/= load_s0.io.in.bits.src(0)(VAddrBits-1, 12)          ),
678    ("load_s1_in_fire         ", load_s1.io.in.fire                                                                                                              ),
679    ("load_s1_tlb_miss        ", load_s1.io.in.fire && load_s1.io.dtlbResp.bits.miss                                                                             ),
680    ("load_s2_in_fire         ", load_s2.io.in.fire                                                                                                              ),
681    ("load_s2_dcache_miss     ", load_s2.io.in.fire && load_s2.io.dcacheResp.bits.miss                                                                           ),
682    ("load_s2_replay          ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit                                                                  ),
683    ("load_s2_replay_tlb_miss ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && load_s2.io.in.bits.tlbMiss                                    ),
684    ("load_s2_replay_cache    ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && !load_s2.io.in.bits.tlbMiss && load_s2.io.dcacheResp.bits.miss),
685  )
686  generatePerfEvent()
687
688  when(io.ldout.fire()){
689    XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc)
690  }
691}
692