1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import xiangshan._ 24import xiangshan.backend.decode.ImmUnion 25import xiangshan.cache._ 26import xiangshan.cache.mmu.{TlbPtwIO, TlbReq, TlbResp, TlbCmd, TlbRequestIO, TLB} 27 28class LoadToLsqIO(implicit p: Parameters) extends XSBundle { 29 val loadIn = ValidIO(new LsPipelineBundle) 30 val ldout = Flipped(DecoupledIO(new ExuOutput)) 31 val loadDataForwarded = Output(Bool()) 32 val needReplayFromRS = Output(Bool()) 33 val forward = new PipeLoadForwardQueryIO 34} 35 36class LoadToLoadIO(implicit p: Parameters) extends XSBundle { 37 // load to load fast path is limited to ld (64 bit) used as vaddr src1 only 38 val data = UInt(XLEN.W) 39 val valid = Bool() 40} 41 42// Load Pipeline Stage 0 43// Generate addr, use addr to query DCache and DTLB 44class LoadUnit_S0(implicit p: Parameters) extends XSModule { 45 val io = IO(new Bundle() { 46 val in = Flipped(Decoupled(new ExuInput)) 47 val out = Decoupled(new LsPipelineBundle) 48 val fastpath = Input(Vec(LoadPipelineWidth, new LoadToLoadIO)) 49 val dtlbReq = DecoupledIO(new TlbReq) 50 val dcacheReq = DecoupledIO(new DCacheWordReq) 51 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 52 val isFirstIssue = Input(Bool()) 53 val loadFastMatch = Input(UInt(exuParameters.LduCnt.W)) 54 }) 55 require(LoadPipelineWidth == exuParameters.LduCnt) 56 57 val s0_uop = io.in.bits.uop 58 val imm12 = WireInit(s0_uop.ctrl.imm(11,0)) 59 60 // slow vaddr from non-load insts 61 val slowpath_vaddr = io.in.bits.src(0) + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits) 62 val slowpath_mask = genWmask(slowpath_vaddr, s0_uop.ctrl.fuOpType(1,0)) 63 64 // fast vaddr from load insts 65 val fastpath_vaddrs = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => { 66 io.fastpath(i).data + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits) 67 }))) 68 val fastpath_masks = WireInit(VecInit(List.tabulate(LoadPipelineWidth)(i => { 69 genWmask(fastpath_vaddrs(i), s0_uop.ctrl.fuOpType(1,0)) 70 }))) 71 val fastpath_vaddr = Mux1H(io.loadFastMatch, fastpath_vaddrs) 72 val fastpath_mask = Mux1H(io.loadFastMatch, fastpath_masks) 73 74 // select vaddr from 2 alus 75 val s0_vaddr = Mux(io.loadFastMatch.orR, fastpath_vaddr, slowpath_vaddr) 76 val s0_mask = Mux(io.loadFastMatch.orR, fastpath_mask, slowpath_mask) 77 XSPerfAccumulate("load_to_load_forward", io.loadFastMatch.orR && io.in.fire()) 78 79 // query DTLB 80 io.dtlbReq.valid := io.in.valid 81 io.dtlbReq.bits.vaddr := s0_vaddr 82 io.dtlbReq.bits.cmd := TlbCmd.read 83 io.dtlbReq.bits.roqIdx := s0_uop.roqIdx 84 io.dtlbReq.bits.debug.pc := s0_uop.cf.pc 85 io.dtlbReq.bits.debug.isFirstIssue := io.isFirstIssue 86 87 // query DCache 88 io.dcacheReq.valid := io.in.valid 89 io.dcacheReq.bits.cmd := MemoryOpConstants.M_XRD 90 io.dcacheReq.bits.addr := s0_vaddr 91 io.dcacheReq.bits.mask := s0_mask 92 io.dcacheReq.bits.data := DontCare 93 94 // TODO: update cache meta 95 io.dcacheReq.bits.id := DontCare 96 97 val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List( 98 "b00".U -> true.B, //b 99 "b01".U -> (s0_vaddr(0) === 0.U), //h 100 "b10".U -> (s0_vaddr(1, 0) === 0.U), //w 101 "b11".U -> (s0_vaddr(2, 0) === 0.U) //d 102 )) 103 104 io.out.valid := io.in.valid && io.dcacheReq.ready 105 106 io.out.bits := DontCare 107 io.out.bits.vaddr := s0_vaddr 108 io.out.bits.mask := s0_mask 109 io.out.bits.uop := s0_uop 110 io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned 111 io.out.bits.rsIdx := io.rsIdx 112 io.out.bits.isFirstIssue := io.isFirstIssue 113 114 io.in.ready := !io.in.valid || (io.out.ready && io.dcacheReq.ready) 115 116 XSDebug(io.dcacheReq.fire(), 117 p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 118 ) 119 XSPerfAccumulate("in_valid", io.in.valid) 120 XSPerfAccumulate("in_fire", io.in.fire) 121 XSPerfAccumulate("in_fire_first_issue", io.in.valid && io.isFirstIssue) 122 XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready && io.dcacheReq.ready) 123 XSPerfAccumulate("stall_dcache", io.out.valid && io.out.ready && !io.dcacheReq.ready) 124 XSPerfAccumulate("addr_spec_success", io.out.fire() && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12)) 125 XSPerfAccumulate("addr_spec_failed", io.out.fire() && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12)) 126 XSPerfAccumulate("addr_spec_success_once", io.out.fire() && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue) 127 XSPerfAccumulate("addr_spec_failed_once", io.out.fire() && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue) 128} 129 130 131// Load Pipeline Stage 1 132// TLB resp (send paddr to dcache) 133class LoadUnit_S1(implicit p: Parameters) extends XSModule { 134 val io = IO(new Bundle() { 135 val in = Flipped(Decoupled(new LsPipelineBundle)) 136 val out = Decoupled(new LsPipelineBundle) 137 val dtlbResp = Flipped(DecoupledIO(new TlbResp)) 138 val dcachePAddr = Output(UInt(PAddrBits.W)) 139 val dcacheKill = Output(Bool()) 140 val fullForwardFast = Output(Bool()) 141 val sbuffer = new LoadForwardQueryIO 142 val lsq = new PipeLoadForwardQueryIO 143 }) 144 145 val s1_uop = io.in.bits.uop 146 val s1_paddr = io.dtlbResp.bits.paddr 147 val s1_exception = selectLoad(io.out.bits.uop.cf.exceptionVec, false).asUInt.orR 148 val s1_tlb_miss = io.dtlbResp.bits.miss 149 val s1_mmio = !s1_tlb_miss && io.dtlbResp.bits.mmio 150 val s1_mask = io.in.bits.mask 151 152 io.out.bits := io.in.bits // forwardXX field will be updated in s1 153 154 io.dtlbResp.ready := true.B 155 156 // TOOD: PMA check 157 io.dcachePAddr := s1_paddr 158 io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio 159 160 // load forward query datapath 161 io.sbuffer.valid := io.in.valid && !(s1_exception || s1_tlb_miss) 162 io.sbuffer.vaddr := io.in.bits.vaddr 163 io.sbuffer.paddr := s1_paddr 164 io.sbuffer.uop := s1_uop 165 io.sbuffer.sqIdx := s1_uop.sqIdx 166 io.sbuffer.mask := s1_mask 167 io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it 168 169 io.lsq.valid := io.in.valid && !(s1_exception || s1_tlb_miss) 170 io.lsq.vaddr := io.in.bits.vaddr 171 io.lsq.paddr := s1_paddr 172 io.lsq.uop := s1_uop 173 io.lsq.sqIdx := s1_uop.sqIdx 174 io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0 175 io.lsq.mask := s1_mask 176 io.lsq.pc := s1_uop.cf.pc // FIXME: remove it 177 178 // Generate forwardMaskFast to wake up insts earlier 179 val forwardMaskFast = io.lsq.forwardMaskFast.asUInt | io.sbuffer.forwardMaskFast.asUInt 180 io.fullForwardFast := (~forwardMaskFast & s1_mask) === 0.U 181 182 183 io.out.valid := io.in.valid// && !s1_tlb_miss 184 io.out.bits.paddr := s1_paddr 185 io.out.bits.mmio := s1_mmio && !s1_exception 186 io.out.bits.tlbMiss := s1_tlb_miss 187 io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld 188 io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp.af.ld 189 io.out.bits.ptwBack := io.dtlbResp.bits.ptwBack 190 io.out.bits.rsIdx := io.in.bits.rsIdx 191 192 io.in.ready := !io.in.valid || io.out.ready 193 194 XSPerfAccumulate("in_valid", io.in.valid) 195 XSPerfAccumulate("in_fire", io.in.fire) 196 XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue) 197 XSPerfAccumulate("tlb_miss", io.in.fire && s1_tlb_miss) 198 XSPerfAccumulate("tlb_miss_first_issue", io.in.fire && s1_tlb_miss && io.in.bits.isFirstIssue) 199 XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready) 200} 201 202// Load Pipeline Stage 2 203// DCache resp 204class LoadUnit_S2(implicit p: Parameters) extends XSModule with HasLoadHelper { 205 val io = IO(new Bundle() { 206 val in = Flipped(Decoupled(new LsPipelineBundle)) 207 val out = Decoupled(new LsPipelineBundle) 208 val rsFeedback = ValidIO(new RSFeedback) 209 val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp)) 210 val lsq = new LoadForwardQueryIO 211 val sbuffer = new LoadForwardQueryIO 212 val dataForwarded = Output(Bool()) 213 val needReplayFromRS = Output(Bool()) 214 val fastpath = Output(new LoadToLoadIO) 215 }) 216 217 val s2_uop = io.in.bits.uop 218 val s2_mask = io.in.bits.mask 219 val s2_paddr = io.in.bits.paddr 220 val s2_tlb_miss = io.in.bits.tlbMiss 221 val s2_data_invalid = io.lsq.dataInvalid 222 val s2_exception = selectLoad(io.in.bits.uop.cf.exceptionVec, false).asUInt.orR 223 val s2_mmio = io.in.bits.mmio && !s2_exception 224 val s2_cache_miss = io.dcacheResp.bits.miss 225 val s2_cache_replay = io.dcacheResp.bits.replay 226 227 // val cnt = RegInit(127.U) 228 // cnt := cnt + io.in.valid.asUInt 229 // val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid || cnt === 0.U 230 231 val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid 232 233 // assert(!s2_forward_fail) 234 235 io.dcacheResp.ready := true.B 236 val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio) 237 assert(!(io.in.valid && dcacheShouldResp && !io.dcacheResp.valid), "DCache response got lost") 238 239 // merge forward result 240 // lsq has higher priority than sbuffer 241 val forwardMask = Wire(Vec(8, Bool())) 242 val forwardData = Wire(Vec(8, UInt(8.W))) 243 244 val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U && !io.lsq.dataInvalid 245 io.lsq := DontCare 246 io.sbuffer := DontCare 247 248 // generate XLEN/8 Muxs 249 for (i <- 0 until XLEN / 8) { 250 forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i) 251 forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i)) 252 } 253 254 XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 255 s2_uop.cf.pc, 256 io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt, 257 io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt 258 ) 259 260 // data merge 261 val rdataVec = VecInit((0 until XLEN / 8).map(j => 262 Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))) 263 val rdata = rdataVec.asUInt 264 val rdataSel = LookupTree(s2_paddr(2, 0), List( 265 "b000".U -> rdata(63, 0), 266 "b001".U -> rdata(63, 8), 267 "b010".U -> rdata(63, 16), 268 "b011".U -> rdata(63, 24), 269 "b100".U -> rdata(63, 32), 270 "b101".U -> rdata(63, 40), 271 "b110".U -> rdata(63, 48), 272 "b111".U -> rdata(63, 56) 273 )) 274 val rdataPartialLoad = rdataHelper(s2_uop, rdataSel) 275 276 io.out.valid := io.in.valid && !s2_tlb_miss && !s2_data_invalid 277 // Inst will be canceled in store queue / lsq, 278 // so we do not need to care about flush in load / store unit's out.valid 279 io.out.bits := io.in.bits 280 io.out.bits.data := rdataPartialLoad 281 // when exception occurs, set it to not miss and let it write back to roq (via int port) 282 if (EnableFastForward) { 283 io.out.bits.miss := s2_cache_miss && !s2_exception && !s2_forward_fail && !fullForward 284 } else { 285 io.out.bits.miss := s2_cache_miss && !s2_exception && !s2_forward_fail 286 } 287 io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception 288 // if forward fail, replay this inst 289 io.out.bits.uop.ctrl.replayInst := s2_forward_fail && !s2_mmio 290 io.out.bits.mmio := s2_mmio 291 292 // For timing reasons, sometimes we can not let 293 // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward 294 // We use io.dataForwarded instead. It means forward logic have prepared all data needed, 295 // and dcache query is no longer needed. 296 // Such inst will be writebacked from load queue. 297 io.dataForwarded := s2_cache_miss && fullForward && !s2_exception && !s2_forward_fail 298 // io.out.bits.forwardX will be send to lq 299 io.out.bits.forwardMask := forwardMask 300 // data retbrived from dcache is also included in io.out.bits.forwardData 301 io.out.bits.forwardData := rdataVec 302 303 io.in.ready := io.out.ready || !io.in.valid 304 305 306 // feedback tlb result to RS 307 io.rsFeedback.valid := io.in.valid 308 io.rsFeedback.bits.hit := !s2_tlb_miss && (!s2_cache_replay || s2_mmio || s2_exception || fullForward) && !s2_data_invalid 309 io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx 310 io.rsFeedback.bits.flushState := io.in.bits.ptwBack 311 io.rsFeedback.bits.sourceType := Mux(s2_tlb_miss, RSFeedbackType.tlbMiss, 312 Mux(io.lsq.dataInvalid, 313 RSFeedbackType.dataInvalid, 314 RSFeedbackType.mshrFull 315 ) 316 ) 317 318 // s2_cache_replay is quite slow to generate, send it separately to LQ 319 io.needReplayFromRS := s2_cache_replay && !fullForward 320 321 // fast load to load forward 322 io.fastpath.valid := io.in.valid // for debug only 323 io.fastpath.data := rdata // raw data 324 325 326 XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n", 327 s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data, 328 forwardData.asUInt, forwardMask.asUInt 329 ) 330 331 XSPerfAccumulate("in_valid", io.in.valid) 332 XSPerfAccumulate("in_fire", io.in.fire) 333 XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue) 334 XSPerfAccumulate("dcache_miss", io.in.fire && s2_cache_miss) 335 XSPerfAccumulate("dcache_miss_first_issue", io.in.fire && s2_cache_miss && io.in.bits.isFirstIssue) 336 XSPerfAccumulate("full_forward", io.in.valid && fullForward) 337 XSPerfAccumulate("dcache_miss_full_forward", io.in.valid && s2_cache_miss && fullForward) 338 XSPerfAccumulate("replay", io.rsFeedback.valid && !io.rsFeedback.bits.hit) 339 XSPerfAccumulate("replay_tlb_miss", io.rsFeedback.valid && !io.rsFeedback.bits.hit && s2_tlb_miss) 340 XSPerfAccumulate("replay_cache", io.rsFeedback.valid && !io.rsFeedback.bits.hit && !s2_tlb_miss && s2_cache_replay) 341 XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready) 342} 343 344class LoadUnit(implicit p: Parameters) extends XSModule with HasLoadHelper { 345 val io = IO(new Bundle() { 346 val ldin = Flipped(Decoupled(new ExuInput)) 347 val ldout = Decoupled(new ExuOutput) 348 val redirect = Flipped(ValidIO(new Redirect)) 349 val flush = Input(Bool()) 350 val rsFeedback = ValidIO(new RSFeedback) 351 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 352 val isFirstIssue = Input(Bool()) 353 val dcache = new DCacheLoadIO 354 val sbuffer = new LoadForwardQueryIO 355 val lsq = new LoadToLsqIO 356 val fastUop = ValidIO(new MicroOp) // early wakeup signal generated in load_s1 357 358 val tlb = new TlbRequestIO 359 val fastpathOut = Output(new LoadToLoadIO) 360 val fastpathIn = Input(Vec(LoadPipelineWidth, new LoadToLoadIO)) 361 val loadFastMatch = Input(UInt(exuParameters.LduCnt.W)) 362 }) 363 364 val load_s0 = Module(new LoadUnit_S0) 365 val load_s1 = Module(new LoadUnit_S1) 366 val load_s2 = Module(new LoadUnit_S2) 367 368 load_s0.io.in <> io.ldin 369 load_s0.io.dtlbReq <> io.tlb.req 370 load_s0.io.dcacheReq <> io.dcache.req 371 load_s0.io.rsIdx := io.rsIdx 372 load_s0.io.isFirstIssue := io.isFirstIssue 373 load_s0.io.fastpath := io.fastpathIn 374 load_s0.io.loadFastMatch := io.loadFastMatch 375 376 PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, load_s0.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush)) 377 378 load_s1.io.dtlbResp <> io.tlb.resp 379 io.dcache.s1_paddr <> load_s1.io.dcachePAddr 380 io.dcache.s1_kill <> load_s1.io.dcacheKill 381 load_s1.io.sbuffer <> io.sbuffer 382 load_s1.io.lsq <> io.lsq.forward 383 384 PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush)) 385 386 load_s2.io.dcacheResp <> io.dcache.resp 387 load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData 388 load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask 389 load_s2.io.lsq.forwardMaskFast <> io.lsq.forward.forwardMaskFast // should not be used in load_s2 390 load_s2.io.lsq.dataInvalid <> io.lsq.forward.dataInvalid 391 load_s2.io.lsq.matchInvalid <> io.lsq.forward.matchInvalid 392 load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData 393 load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask 394 load_s2.io.sbuffer.forwardMaskFast <> io.sbuffer.forwardMaskFast // should not be used in load_s2 395 load_s2.io.sbuffer.dataInvalid <> io.sbuffer.dataInvalid // always false 396 load_s2.io.sbuffer.matchInvalid <> io.sbuffer.matchInvalid 397 load_s2.io.dataForwarded <> io.lsq.loadDataForwarded 398 load_s2.io.fastpath <> io.fastpathOut 399 io.rsFeedback.bits := RegNext(load_s2.io.rsFeedback.bits) 400 io.rsFeedback.valid := RegNext(load_s2.io.rsFeedback.valid && !load_s2.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush)) 401 io.lsq.needReplayFromRS := load_s2.io.needReplayFromRS 402 403 // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding 404 val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.in.bits.uop.sqIdx.value, StoreQueueSize)) 405 io.lsq.forward.sqIdxMask := sqIdxMaskReg 406 407 // // use s2_hit_way to select data received in s1 408 // load_s2.io.dcacheResp.bits.data := Mux1H(RegNext(io.dcache.s1_hit_way), RegNext(io.dcache.s1_data)) 409 // assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data) 410 411 io.fastUop.valid := io.dcache.s1_hit_way.orR && // dcache hit 412 !io.dcache.s1_disable_fast_wakeup && // load fast wakeup should be disabled when dcache data read is not ready 413 load_s1.io.in.valid && // valid laod request 414 !load_s1.io.dcacheKill && // not mmio or tlb miss 415 !io.lsq.forward.dataInvalidFast // forward failed 416 io.fastUop.bits := load_s1.io.out.bits.uop 417 418 XSDebug(load_s0.io.out.valid, 419 p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " + 420 p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n") 421 XSDebug(load_s1.io.out.valid, 422 p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 423 p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n") 424 425 // writeback to LSQ 426 // Current dcache use MSHR 427 // Load queue will be updated at s2 for both hit/miss int/fp load 428 io.lsq.loadIn.valid := load_s2.io.out.valid 429 io.lsq.loadIn.bits := load_s2.io.out.bits 430 431 // write to rob and writeback bus 432 val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss && !load_s2.io.out.bits.mmio 433 434 // Int load, if hit, will be writebacked at s2 435 val hitLoadOut = Wire(Valid(new ExuOutput)) 436 hitLoadOut.valid := s2_wb_valid 437 hitLoadOut.bits.uop := load_s2.io.out.bits.uop 438 hitLoadOut.bits.data := load_s2.io.out.bits.data 439 hitLoadOut.bits.redirectValid := false.B 440 hitLoadOut.bits.redirect := DontCare 441 hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio 442 hitLoadOut.bits.debug.isPerfCnt := false.B 443 hitLoadOut.bits.debug.paddr := load_s2.io.out.bits.paddr 444 hitLoadOut.bits.fflags := DontCare 445 446 load_s2.io.out.ready := true.B 447 448 io.ldout.bits := Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits) 449 io.ldout.valid := hitLoadOut.valid || io.lsq.ldout.valid 450 451 io.lsq.ldout.ready := !hitLoadOut.valid 452 453 when(io.ldout.fire()){ 454 XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc) 455 } 456} 457