1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import utility._ 24import xiangshan._ 25import xiangshan.ExceptionNO._ 26import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput, connectSamePort} 27import xiangshan.backend.fu.PMPRespBundle 28import xiangshan.backend.fu.FuConfig._ 29import xiangshan.backend.fu.FuType 30import xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo} 31import xiangshan.backend.rob.RobPtr 32import xiangshan.backend.ctrlblock.DebugLsInfoBundle 33import xiangshan.backend.fu.NewCSR._ 34import xiangshan.backend.fu.util.SdtrigExt 35import xiangshan.mem.mdp._ 36import xiangshan.mem.Bundles._ 37import xiangshan.cache._ 38import xiangshan.cache.wpu.ReplayCarry 39import xiangshan.cache.mmu._ 40 41class LoadToLsqReplayIO(implicit p: Parameters) extends XSBundle 42 with HasDCacheParameters 43 with HasTlbConst 44{ 45 // mshr refill index 46 val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 47 // get full data from store queue and sbuffer 48 val full_fwd = Bool() 49 // wait for data from store inst's store queue index 50 val data_inv_sq_idx = new SqPtr 51 // wait for address from store queue index 52 val addr_inv_sq_idx = new SqPtr 53 // replay carry 54 val rep_carry = new ReplayCarry(nWays) 55 // data in last beat 56 val last_beat = Bool() 57 // replay cause 58 val cause = Vec(LoadReplayCauses.allCauses, Bool()) 59 // performance debug information 60 val debug = new PerfDebugInfo 61 // tlb hint 62 val tlb_id = UInt(log2Up(loadfiltersize).W) 63 val tlb_full = Bool() 64 65 // alias 66 def mem_amb = cause(LoadReplayCauses.C_MA) 67 def tlb_miss = cause(LoadReplayCauses.C_TM) 68 def fwd_fail = cause(LoadReplayCauses.C_FF) 69 def dcache_rep = cause(LoadReplayCauses.C_DR) 70 def dcache_miss = cause(LoadReplayCauses.C_DM) 71 def wpu_fail = cause(LoadReplayCauses.C_WF) 72 def bank_conflict = cause(LoadReplayCauses.C_BC) 73 def rar_nack = cause(LoadReplayCauses.C_RAR) 74 def raw_nack = cause(LoadReplayCauses.C_RAW) 75 def misalign_nack = cause(LoadReplayCauses.C_MF) 76 def nuke = cause(LoadReplayCauses.C_NK) 77 def need_rep = cause.asUInt.orR 78} 79 80 81class LoadToLsqIO(implicit p: Parameters) extends XSBundle { 82 // ldu -> lsq UncacheBuffer 83 val ldin = DecoupledIO(new LqWriteBundle) 84 // uncache-mmio -> ldu 85 val uncache = Flipped(DecoupledIO(new MemExuOutput)) 86 val ld_raw_data = Input(new LoadDataFromLQBundle) 87 // uncache-nc -> ldu 88 val nc_ldin = Flipped(DecoupledIO(new LsPipelineBundle)) 89 // storequeue -> ldu 90 val forward = new PipeLoadForwardQueryIO 91 // ldu -> lsq LQRAW 92 val stld_nuke_query = new LoadNukeQueryIO 93 // ldu -> lsq LQRAR 94 val ldld_nuke_query = new LoadNukeQueryIO 95 // lq -> ldu for misalign 96 val lqDeqPtr = Input(new LqPtr) 97} 98 99class LoadToLoadIO(implicit p: Parameters) extends XSBundle { 100 val valid = Bool() 101 val data = UInt(XLEN.W) // load to load fast path is limited to ld (64 bit) used as vaddr src1 only 102 val dly_ld_err = Bool() 103} 104 105class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle { 106 val tdata2 = Input(UInt(64.W)) 107 val matchType = Input(UInt(2.W)) 108 val tEnable = Input(Bool()) // timing is calculated before this 109 val addrHit = Output(Bool()) 110} 111 112class LoadUnit(implicit p: Parameters) extends XSModule 113 with HasLoadHelper 114 with HasPerfEvents 115 with HasDCacheParameters 116 with HasCircularQueuePtrHelper 117 with HasVLSUParameters 118 with SdtrigExt 119{ 120 val io = IO(new Bundle() { 121 // control 122 val redirect = Flipped(ValidIO(new Redirect)) 123 val csrCtrl = Flipped(new CustomCSRCtrlIO) 124 125 // int issue path 126 val ldin = Flipped(Decoupled(new MemExuInput)) 127 val ldout = Decoupled(new MemExuOutput) 128 129 // vec issue path 130 val vecldin = Flipped(Decoupled(new VecPipeBundle)) 131 val vecldout = Decoupled(new VecPipelineFeedbackIO(isVStore = false)) 132 133 // misalignBuffer issue path 134 val misalign_ldin = Flipped(Decoupled(new LsPipelineBundle)) 135 val misalign_ldout = Valid(new LqWriteBundle) 136 137 // data path 138 val tlb = new TlbRequestIO(2) 139 val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now 140 val dcache = new DCacheLoadIO 141 val sbuffer = new LoadForwardQueryIO 142 val ubuffer = new LoadForwardQueryIO 143 val lsq = new LoadToLsqIO 144 val tl_d_channel = Input(new DcacheToLduForwardIO) 145 val forward_mshr = Flipped(new LduToMissqueueForwardIO) 146 // val refill = Flipped(ValidIO(new Refill)) 147 val l2_hint = Input(Valid(new L2ToL1Hint)) 148 val tlb_hint = Flipped(new TlbHintReq) 149 // fast wakeup 150 // TODO: implement vector fast wakeup 151 val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2 152 153 // trigger 154 val fromCsrTrigger = Input(new CsrTriggerBundle) 155 156 // prefetch 157 val prefetch_train = ValidIO(new LsPrefetchTrainBundle()) // provide prefetch info to sms 158 val prefetch_train_l1 = ValidIO(new LsPrefetchTrainBundle()) // provide prefetch info to stream & stride 159 // speculative for gated control 160 val s1_prefetch_spec = Output(Bool()) 161 val s2_prefetch_spec = Output(Bool()) 162 163 val prefetch_req = Flipped(ValidIO(new L1PrefetchReq)) // hardware prefetch to l1 cache req 164 val canAcceptLowConfPrefetch = Output(Bool()) 165 val canAcceptHighConfPrefetch = Output(Bool()) 166 167 // ifetchPrefetch 168 val ifetchPrefetch = ValidIO(new SoftIfetchPrefetchBundle) 169 170 // load to load fast path 171 val l2l_fwd_in = Input(new LoadToLoadIO) 172 val l2l_fwd_out = Output(new LoadToLoadIO) 173 174 val ld_fast_match = Input(Bool()) 175 val ld_fast_fuOpType = Input(UInt()) 176 val ld_fast_imm = Input(UInt(12.W)) 177 178 // rs feedback 179 val wakeup = ValidIO(new DynInst) 180 val feedback_fast = ValidIO(new RSFeedback) // stage 2 181 val feedback_slow = ValidIO(new RSFeedback) // stage 3 182 val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load 183 184 // load ecc error 185 val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different 186 187 // schedule error query 188 val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryBundle))) 189 190 // queue-based replay 191 val replay = Flipped(Decoupled(new LsPipelineBundle)) 192 val lq_rep_full = Input(Bool()) 193 194 // misc 195 val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch 196 197 // Load fast replay path 198 val fast_rep_in = Flipped(Decoupled(new LqWriteBundle)) 199 val fast_rep_out = Decoupled(new LqWriteBundle) 200 201 // to misalign buffer 202 val misalign_buf = Decoupled(new LqWriteBundle) 203 val misalign_allow_spec = Input(Bool()) 204 205 // Load RAR rollback 206 val rollback = Valid(new Redirect) 207 208 // perf 209 val debug_ls = Output(new DebugLsInfoBundle) 210 val lsTopdownInfo = Output(new LsTopdownInfo) 211 val correctMissTrain = Input(Bool()) 212 }) 213 214 val s1_ready, s2_ready, s3_ready = WireInit(false.B) 215 216 // Pipeline 217 // -------------------------------------------------------------------------------- 218 // stage 0 219 // -------------------------------------------------------------------------------- 220 // generate addr, use addr to query DCache and DTLB 221 val s0_valid = Wire(Bool()) 222 val s0_mmio_select = Wire(Bool()) 223 val s0_nc_select = Wire(Bool()) 224 val s0_misalign_select= Wire(Bool()) 225 val s0_kill = Wire(Bool()) 226 val s0_can_go = s1_ready 227 val s0_fire = s0_valid && s0_can_go 228 val s0_mmio_fire = s0_mmio_select && s0_can_go 229 val s0_nc_fire = s0_nc_select && s0_can_go 230 val s0_out = Wire(new LqWriteBundle) 231 val s0_tlb_valid = Wire(Bool()) 232 val s0_tlb_hlv = Wire(Bool()) 233 val s0_tlb_hlvx = Wire(Bool()) 234 val s0_tlb_vaddr = Wire(UInt(VAddrBits.W)) 235 val s0_tlb_fullva = Wire(UInt(XLEN.W)) 236 val s0_dcache_vaddr = Wire(UInt(VAddrBits.W)) 237 val s0_is128bit = Wire(Bool()) 238 val s0_misalign_wakeup_fire = s0_misalign_select && s0_can_go && 239 io.dcache.req.ready && 240 io.misalign_ldin.bits.misalignNeedWakeUp 241 242 // flow source bundle 243 class FlowSource extends Bundle { 244 val vaddr = UInt(VAddrBits.W) 245 val mask = UInt((VLEN/8).W) 246 val uop = new DynInst 247 val try_l2l = Bool() 248 val has_rob_entry = Bool() 249 val rep_carry = new ReplayCarry(nWays) 250 val mshrid = UInt(log2Up(cfg.nMissEntries).W) 251 val isFirstIssue = Bool() 252 val fast_rep = Bool() 253 val ld_rep = Bool() 254 val l2l_fwd = Bool() 255 val prf = Bool() 256 val prf_rd = Bool() 257 val prf_wr = Bool() 258 val prf_i = Bool() 259 val sched_idx = UInt(log2Up(LoadQueueReplaySize+1).W) 260 // Record the issue port idx of load issue queue. This signal is used by load cancel. 261 val deqPortIdx = UInt(log2Ceil(LoadPipelineWidth).W) 262 val frm_mabuf = Bool() 263 // vec only 264 val isvec = Bool() 265 val is128bit = Bool() 266 val uop_unit_stride_fof = Bool() 267 val reg_offset = UInt(vOffsetBits.W) 268 val vecActive = Bool() // 1: vector active element or scala mem operation, 0: vector not active element 269 val is_first_ele = Bool() 270 // val flowPtr = new VlflowPtr 271 val usSecondInv = Bool() 272 val mbIndex = UInt(vlmBindexBits.W) 273 val elemIdx = UInt(elemIdxBits.W) 274 val elemIdxInsideVd = UInt(elemIdxBits.W) 275 val alignedType = UInt(alignTypeBits.W) 276 val vecBaseVaddr = UInt(VAddrBits.W) 277 //for Svpbmt NC 278 val isnc = Bool() 279 val paddr = UInt(PAddrBits.W) 280 val data = UInt((VLEN+1).W) 281 } 282 val s0_sel_src = Wire(new FlowSource) 283 284 // load flow select/gen 285 // src 0: misalignBuffer load (io.misalign_ldin) 286 // src 1: super load replayed by LSQ (cache miss replay) (io.replay) 287 // src 2: fast load replay (io.fast_rep_in) 288 // src 3: mmio (io.lsq.uncache) 289 // src 4: nc (io.lsq.nc_ldin) 290 // src 5: load replayed by LSQ (io.replay) 291 // src 6: hardware prefetch from prefetchor (high confidence) (io.prefetch) 292 // NOTE: Now vec/int loads are sent from same RS 293 // A vec load will be splited into multiple uops, 294 // so as long as one uop is issued, 295 // the other uops should have higher priority 296 // src 7: vec read from RS (io.vecldin) 297 // src 8: int read / software prefetch first issue from RS (io.in) 298 // src 9: load try pointchaising when no issued or replayed load (io.fastpath) 299 // src10: hardware prefetch from prefetchor (high confidence) (io.prefetch) 300 // priority: high to low 301 val s0_rep_stall = io.ldin.valid && isAfter(io.replay.bits.uop.lqIdx, io.ldin.bits.uop.lqIdx) || 302 io.vecldin.valid && isAfter(io.replay.bits.uop.lqIdx, io.vecldin.bits.uop.lqIdx) 303 private val SRC_NUM = 11 304 private val Seq( 305 mab_idx, super_rep_idx, fast_rep_idx, mmio_idx, nc_idx, lsq_rep_idx, 306 high_pf_idx, vec_iss_idx, int_iss_idx, l2l_fwd_idx, low_pf_idx 307 ) = (0 until SRC_NUM).toSeq 308 // load flow source valid 309 val s0_src_valid_vec = WireInit(VecInit(Seq( 310 io.misalign_ldin.valid, 311 io.replay.valid && io.replay.bits.forward_tlDchannel, 312 io.fast_rep_in.valid, 313 io.lsq.uncache.valid, 314 io.lsq.nc_ldin.valid, 315 io.replay.valid && !io.replay.bits.forward_tlDchannel && !s0_rep_stall, 316 io.prefetch_req.valid && io.prefetch_req.bits.confidence > 0.U, 317 io.vecldin.valid, 318 io.ldin.valid, // int flow first issue or software prefetch 319 io.l2l_fwd_in.valid, 320 io.prefetch_req.valid && io.prefetch_req.bits.confidence === 0.U, 321 ))) 322 // load flow source ready 323 val s0_src_ready_vec = Wire(Vec(SRC_NUM, Bool())) 324 s0_src_ready_vec(0) := true.B 325 for(i <- 1 until SRC_NUM){ 326 s0_src_ready_vec(i) := !s0_src_valid_vec.take(i).reduce(_ || _) 327 } 328 // load flow source select (OH) 329 val s0_src_select_vec = WireInit(VecInit((0 until SRC_NUM).map{i => s0_src_valid_vec(i) && s0_src_ready_vec(i)})) 330 val s0_hw_prf_select = s0_src_select_vec(high_pf_idx) || s0_src_select_vec(low_pf_idx) 331 332 val s0_tlb_no_query = s0_hw_prf_select || s0_sel_src.prf_i || 333 s0_src_select_vec(fast_rep_idx) || s0_src_select_vec(mmio_idx) || 334 s0_src_select_vec(nc_idx) 335 s0_valid := !s0_kill && (s0_src_select_vec(nc_idx) || (( 336 s0_src_valid_vec(mab_idx) || 337 s0_src_valid_vec(super_rep_idx) || 338 s0_src_valid_vec(fast_rep_idx) || 339 s0_src_valid_vec(lsq_rep_idx) || 340 s0_src_valid_vec(high_pf_idx) || 341 s0_src_valid_vec(vec_iss_idx) || 342 s0_src_valid_vec(int_iss_idx) || 343 s0_src_valid_vec(l2l_fwd_idx) || 344 s0_src_valid_vec(low_pf_idx) 345 ) && !s0_src_select_vec(mmio_idx) && io.dcache.req.ready && 346 !(io.misalign_ldin.fire && io.misalign_ldin.bits.misalignNeedWakeUp) // Currently, misalign is the highest priority 347 )) 348 349 s0_mmio_select := s0_src_select_vec(mmio_idx) && !s0_kill 350 s0_nc_select := s0_src_select_vec(nc_idx) && !s0_kill 351 //judgment: is NC with data or not. 352 //If true, it's from `io.lsq.nc_ldin` or `io.fast_rep_in` 353 val s0_nc_with_data = s0_sel_src.isnc && !s0_kill 354 s0_misalign_select := s0_src_select_vec(mab_idx) && !s0_kill 355 356 // if is hardware prefetch or fast replay, don't send valid to tlb 357 s0_tlb_valid := ( 358 s0_src_valid_vec(mab_idx) || 359 s0_src_valid_vec(super_rep_idx) || 360 s0_src_valid_vec(lsq_rep_idx) || 361 s0_src_valid_vec(vec_iss_idx) || 362 s0_src_valid_vec(int_iss_idx) || 363 s0_src_valid_vec(l2l_fwd_idx) 364 ) && io.dcache.req.ready 365 366 // which is S0's out is ready and dcache is ready 367 val s0_try_ptr_chasing = s0_src_select_vec(l2l_fwd_idx) 368 val s0_do_try_ptr_chasing = s0_try_ptr_chasing && s0_can_go && io.dcache.req.ready 369 val s0_ptr_chasing_vaddr = io.l2l_fwd_in.data(5, 0) +& io.ld_fast_imm(5, 0) 370 val s0_ptr_chasing_canceled = WireInit(false.B) 371 s0_kill := s0_ptr_chasing_canceled 372 373 // prefetch related ctrl signal 374 io.canAcceptLowConfPrefetch := s0_src_ready_vec(low_pf_idx) && io.dcache.req.ready 375 io.canAcceptHighConfPrefetch := s0_src_ready_vec(high_pf_idx) && io.dcache.req.ready 376 377 // query DTLB 378 io.tlb.req.valid := s0_tlb_valid 379 io.tlb.req.bits.cmd := Mux(s0_sel_src.prf, 380 Mux(s0_sel_src.prf_wr, TlbCmd.write, TlbCmd.read), 381 TlbCmd.read 382 ) 383 io.tlb.req.bits.isPrefetch := s0_sel_src.prf 384 io.tlb.req.bits.vaddr := s0_tlb_vaddr 385 io.tlb.req.bits.fullva := s0_tlb_fullva 386 io.tlb.req.bits.checkfullva := s0_src_select_vec(vec_iss_idx) || s0_src_select_vec(int_iss_idx) 387 io.tlb.req.bits.hyperinst := s0_tlb_hlv 388 io.tlb.req.bits.hlvx := s0_tlb_hlvx 389 io.tlb.req.bits.size := Mux(s0_sel_src.isvec, s0_sel_src.alignedType(2,0), LSUOpType.size(s0_sel_src.uop.fuOpType)) 390 io.tlb.req.bits.kill := s0_kill || s0_tlb_no_query // if does not need to be translated, kill it 391 io.tlb.req.bits.memidx.is_ld := true.B 392 io.tlb.req.bits.memidx.is_st := false.B 393 io.tlb.req.bits.memidx.idx := s0_sel_src.uop.lqIdx.value 394 io.tlb.req.bits.debug.robIdx := s0_sel_src.uop.robIdx 395 io.tlb.req.bits.no_translate := s0_tlb_no_query // hardware prefetch and fast replay does not need to be translated, need this signal for pmp check 396 io.tlb.req.bits.debug.pc := s0_sel_src.uop.pc 397 io.tlb.req.bits.debug.isFirstIssue := s0_sel_src.isFirstIssue 398 399 // query DCache 400 io.dcache.req.valid := s0_valid && !s0_sel_src.prf_i && !s0_nc_with_data 401 io.dcache.req.bits.cmd := Mux(s0_sel_src.prf_rd, 402 MemoryOpConstants.M_PFR, 403 Mux(s0_sel_src.prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD) 404 ) 405 io.dcache.req.bits.vaddr := s0_dcache_vaddr 406 io.dcache.req.bits.vaddr_dup := s0_dcache_vaddr 407 io.dcache.req.bits.mask := s0_sel_src.mask 408 io.dcache.req.bits.data := DontCare 409 io.dcache.req.bits.isFirstIssue := s0_sel_src.isFirstIssue 410 io.dcache.req.bits.instrtype := Mux(s0_sel_src.prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U) 411 io.dcache.req.bits.debug_robIdx := s0_sel_src.uop.robIdx.value 412 io.dcache.req.bits.replayCarry := s0_sel_src.rep_carry 413 io.dcache.req.bits.id := DontCare // TODO: update cache meta 414 io.dcache.req.bits.lqIdx := s0_sel_src.uop.lqIdx 415 io.dcache.pf_source := Mux(s0_hw_prf_select, io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL) 416 io.dcache.is128Req := s0_is128bit 417 418 // load flow priority mux 419 def fromNullSource(): FlowSource = { 420 val out = WireInit(0.U.asTypeOf(new FlowSource)) 421 out 422 } 423 424 def fromMisAlignBufferSource(src: LsPipelineBundle): FlowSource = { 425 val out = WireInit(0.U.asTypeOf(new FlowSource)) 426 out.vaddr := src.vaddr 427 out.mask := src.mask 428 out.uop := src.uop 429 out.try_l2l := false.B 430 out.has_rob_entry := false.B 431 out.rep_carry := src.replayCarry 432 out.mshrid := src.mshrid 433 out.frm_mabuf := true.B 434 out.isFirstIssue := false.B 435 out.fast_rep := false.B 436 out.ld_rep := false.B 437 out.l2l_fwd := false.B 438 out.prf := false.B 439 out.prf_rd := false.B 440 out.prf_wr := false.B 441 out.sched_idx := src.schedIndex 442 out.isvec := src.isvec 443 out.is128bit := src.is128bit 444 out.vecActive := true.B 445 out 446 } 447 448 def fromFastReplaySource(src: LqWriteBundle): FlowSource = { 449 val out = WireInit(0.U.asTypeOf(new FlowSource)) 450 out.vaddr := src.vaddr 451 out.paddr := src.paddr 452 out.mask := src.mask 453 out.uop := src.uop 454 out.try_l2l := false.B 455 out.has_rob_entry := src.hasROBEntry 456 out.rep_carry := src.rep_info.rep_carry 457 out.mshrid := src.rep_info.mshr_id 458 out.frm_mabuf := src.isFrmMisAlignBuf 459 out.isFirstIssue := false.B 460 out.fast_rep := true.B 461 out.ld_rep := src.isLoadReplay 462 out.l2l_fwd := false.B 463 out.prf := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec 464 out.prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 465 out.prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 466 out.prf_i := false.B 467 out.sched_idx := src.schedIndex 468 out.isvec := src.isvec 469 out.is128bit := src.is128bit 470 out.uop_unit_stride_fof := src.uop_unit_stride_fof 471 out.reg_offset := src.reg_offset 472 out.vecActive := src.vecActive 473 out.is_first_ele := src.is_first_ele 474 out.usSecondInv := src.usSecondInv 475 out.mbIndex := src.mbIndex 476 out.elemIdx := src.elemIdx 477 out.elemIdxInsideVd := src.elemIdxInsideVd 478 out.alignedType := src.alignedType 479 out.isnc := src.nc 480 out.data := src.data 481 out 482 } 483 484 // TODO: implement vector mmio 485 def fromMmioSource(src: MemExuOutput) = { 486 val out = WireInit(0.U.asTypeOf(new FlowSource)) 487 out.mask := 0.U 488 out.uop := src.uop 489 out.try_l2l := false.B 490 out.has_rob_entry := false.B 491 out.rep_carry := 0.U.asTypeOf(out.rep_carry) 492 out.mshrid := 0.U 493 out.frm_mabuf := false.B 494 out.isFirstIssue := false.B 495 out.fast_rep := false.B 496 out.ld_rep := false.B 497 out.l2l_fwd := false.B 498 out.prf := false.B 499 out.prf_rd := false.B 500 out.prf_wr := false.B 501 out.prf_i := false.B 502 out.sched_idx := 0.U 503 out.vecActive := true.B 504 out 505 } 506 507 def fromNcSource(src: LsPipelineBundle): FlowSource = { 508 val out = WireInit(0.U.asTypeOf(new FlowSource)) 509 out.vaddr := src.vaddr 510 out.paddr := src.paddr 511 out.mask := genVWmask(src.vaddr, src.uop.fuOpType(1,0)) 512 out.uop := src.uop 513 out.has_rob_entry := true.B 514 out.sched_idx := src.schedIndex 515 out.isvec := src.isvec 516 out.is128bit := src.is128bit 517 out.vecActive := src.vecActive 518 out.isnc := true.B 519 out.data := src.data 520 out 521 } 522 523 def fromNormalReplaySource(src: LsPipelineBundle): FlowSource = { 524 val out = WireInit(0.U.asTypeOf(new FlowSource)) 525 out.mask := Mux(src.isvec, src.mask, genVWmask(src.vaddr, src.uop.fuOpType(1, 0))) 526 out.uop := src.uop 527 out.try_l2l := false.B 528 out.has_rob_entry := true.B 529 out.rep_carry := src.replayCarry 530 out.mshrid := src.mshrid 531 out.frm_mabuf := false.B 532 out.isFirstIssue := false.B 533 out.fast_rep := false.B 534 out.ld_rep := true.B 535 out.l2l_fwd := false.B 536 out.prf := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec 537 out.prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 538 out.prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 539 out.prf_i := false.B 540 out.sched_idx := src.schedIndex 541 out.isvec := src.isvec 542 out.is128bit := src.is128bit 543 out.uop_unit_stride_fof := src.uop_unit_stride_fof 544 out.reg_offset := src.reg_offset 545 out.vecActive := src.vecActive 546 out.is_first_ele := src.is_first_ele 547 out.usSecondInv := src.usSecondInv 548 out.mbIndex := src.mbIndex 549 out.elemIdx := src.elemIdx 550 out.elemIdxInsideVd := src.elemIdxInsideVd 551 out.alignedType := src.alignedType 552 out 553 } 554 555 // TODO: implement vector prefetch 556 def fromPrefetchSource(src: L1PrefetchReq): FlowSource = { 557 val out = WireInit(0.U.asTypeOf(new FlowSource)) 558 out.mask := 0.U 559 out.uop := DontCare 560 out.try_l2l := false.B 561 out.has_rob_entry := false.B 562 out.rep_carry := 0.U.asTypeOf(out.rep_carry) 563 out.mshrid := 0.U 564 out.frm_mabuf := false.B 565 out.isFirstIssue := false.B 566 out.fast_rep := false.B 567 out.ld_rep := false.B 568 out.l2l_fwd := false.B 569 out.prf := true.B 570 out.prf_rd := !src.is_store 571 out.prf_wr := src.is_store 572 out.prf_i := false.B 573 out.sched_idx := 0.U 574 out 575 } 576 577 def fromVecIssueSource(src: VecPipeBundle): FlowSource = { 578 val out = WireInit(0.U.asTypeOf(new FlowSource)) 579 out.mask := src.mask 580 out.uop := src.uop 581 out.try_l2l := false.B 582 out.has_rob_entry := true.B 583 // TODO: VLSU, implement replay carry 584 out.rep_carry := 0.U.asTypeOf(out.rep_carry) 585 out.mshrid := 0.U 586 out.frm_mabuf := false.B 587 // TODO: VLSU, implement first issue 588// out.isFirstIssue := src.isFirstIssue 589 out.fast_rep := false.B 590 out.ld_rep := false.B 591 out.l2l_fwd := false.B 592 out.prf := false.B 593 out.prf_rd := false.B 594 out.prf_wr := false.B 595 out.prf_i := false.B 596 out.sched_idx := 0.U 597 // Vector load interface 598 out.isvec := true.B 599 // vector loads only access a single element at a time, so 128-bit path is not used for now 600 out.is128bit := is128Bit(src.alignedType) 601 out.uop_unit_stride_fof := src.uop_unit_stride_fof 602 // out.rob_idx_valid := src.rob_idx_valid 603 // out.inner_idx := src.inner_idx 604 // out.rob_idx := src.rob_idx 605 out.reg_offset := src.reg_offset 606 // out.offset := src.offset 607 out.vecActive := src.vecActive 608 out.is_first_ele := src.is_first_ele 609 // out.flowPtr := src.flowPtr 610 out.usSecondInv := src.usSecondInv 611 out.mbIndex := src.mBIndex 612 out.elemIdx := src.elemIdx 613 out.elemIdxInsideVd := src.elemIdxInsideVd 614 out.vecBaseVaddr := src.basevaddr 615 out.alignedType := src.alignedType 616 out 617 } 618 619 def fromIntIssueSource(src: MemExuInput): FlowSource = { 620 val out = WireInit(0.U.asTypeOf(new FlowSource)) 621 val addr = io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), VAddrBits) 622 out.mask := genVWmask(addr, src.uop.fuOpType(1,0)) 623 out.uop := src.uop 624 out.try_l2l := false.B 625 out.has_rob_entry := true.B 626 out.rep_carry := 0.U.asTypeOf(out.rep_carry) 627 out.mshrid := 0.U 628 out.frm_mabuf := false.B 629 out.isFirstIssue := true.B 630 out.fast_rep := false.B 631 out.ld_rep := false.B 632 out.l2l_fwd := false.B 633 out.prf := LSUOpType.isPrefetch(src.uop.fuOpType) 634 out.prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 635 out.prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 636 out.prf_i := src.uop.fuOpType === LSUOpType.prefetch_i 637 out.sched_idx := 0.U 638 out.vecActive := true.B // true for scala load 639 out 640 } 641 642 // TODO: implement vector l2l 643 def fromLoadToLoadSource(src: LoadToLoadIO): FlowSource = { 644 val out = WireInit(0.U.asTypeOf(new FlowSource)) 645 out.mask := genVWmask(0.U, LSUOpType.ld) 646 // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding. 647 // Assume the pointer chasing is always ld. 648 out.uop.fuOpType := LSUOpType.ld 649 out.try_l2l := true.B 650 // we dont care out.isFirstIssue and out.rsIdx and s0_sqIdx in S0 when trying pointchasing 651 // because these signals will be updated in S1 652 out.has_rob_entry := false.B 653 out.mshrid := 0.U 654 out.frm_mabuf := false.B 655 out.rep_carry := 0.U.asTypeOf(out.rep_carry) 656 out.isFirstIssue := true.B 657 out.fast_rep := false.B 658 out.ld_rep := false.B 659 out.l2l_fwd := true.B 660 out.prf := false.B 661 out.prf_rd := false.B 662 out.prf_wr := false.B 663 out.prf_i := false.B 664 out.sched_idx := 0.U 665 out 666 } 667 668 // set default 669 val s0_src_selector = WireInit(s0_src_valid_vec) 670 if (!EnableLoadToLoadForward) { s0_src_selector(l2l_fwd_idx) := false.B } 671 val s0_src_format = Seq( 672 fromMisAlignBufferSource(io.misalign_ldin.bits), 673 fromNormalReplaySource(io.replay.bits), 674 fromFastReplaySource(io.fast_rep_in.bits), 675 fromMmioSource(io.lsq.uncache.bits), 676 fromNcSource(io.lsq.nc_ldin.bits), 677 fromNormalReplaySource(io.replay.bits), 678 fromPrefetchSource(io.prefetch_req.bits), 679 fromVecIssueSource(io.vecldin.bits), 680 fromIntIssueSource(io.ldin.bits), 681 (if (EnableLoadToLoadForward) fromLoadToLoadSource(io.l2l_fwd_in) else fromNullSource()), 682 fromPrefetchSource(io.prefetch_req.bits) 683 ) 684 s0_sel_src := ParallelPriorityMux(s0_src_selector, s0_src_format) 685 686 // fast replay and hardware prefetch don't need to query tlb 687 val int_issue_vaddr = io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), VAddrBits) 688 val int_vec_vaddr = Mux(s0_src_valid_vec(vec_iss_idx), io.vecldin.bits.vaddr(VAddrBits - 1, 0), int_issue_vaddr) 689 s0_tlb_vaddr := Mux( 690 s0_src_valid_vec(mab_idx), 691 io.misalign_ldin.bits.vaddr, 692 Mux( 693 s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx), 694 io.replay.bits.vaddr, 695 int_vec_vaddr 696 ) 697 ) 698 s0_dcache_vaddr := Mux( 699 s0_src_select_vec(fast_rep_idx), io.fast_rep_in.bits.vaddr, 700 Mux(s0_hw_prf_select, io.prefetch_req.bits.getVaddr(), 701 Mux(s0_src_select_vec(nc_idx), io.lsq.nc_ldin.bits.vaddr, // not for dcache access, but for address alignment check 702 s0_tlb_vaddr)) 703 ) 704 705 val s0_alignType = Mux(s0_sel_src.isvec, s0_sel_src.alignedType(1,0), s0_sel_src.uop.fuOpType(1, 0)) 706 707 val s0_addr_aligned = LookupTree(s0_alignType, List( 708 "b00".U -> true.B, //b 709 "b01".U -> (s0_dcache_vaddr(0) === 0.U), //h 710 "b10".U -> (s0_dcache_vaddr(1, 0) === 0.U), //w 711 "b11".U -> (s0_dcache_vaddr(2, 0) === 0.U) //d 712 )) 713 // address align check 714 XSError(s0_sel_src.isvec && s0_dcache_vaddr(3, 0) =/= 0.U && s0_sel_src.alignedType(2), "unit-stride 128 bit element is not aligned!") 715 716 val s0_check_vaddr_low = s0_dcache_vaddr(4, 0) 717 val s0_check_vaddr_Up_low = LookupTree(s0_alignType, List( 718 "b00".U -> 0.U, 719 "b01".U -> 1.U, 720 "b10".U -> 3.U, 721 "b11".U -> 7.U 722 )) + s0_check_vaddr_low 723 //TODO vec? 724 val s0_rs_cross16Bytes = s0_check_vaddr_Up_low(4) =/= s0_check_vaddr_low(4) 725 val s0_misalignWith16Byte = !s0_rs_cross16Bytes && !s0_addr_aligned && !s0_hw_prf_select 726 val s0_misalignNeedWakeUp = s0_sel_src.frm_mabuf && io.misalign_ldin.bits.misalignNeedWakeUp 727 val s0_finalSplit = s0_sel_src.frm_mabuf && io.misalign_ldin.bits.isFinalSplit 728 s0_is128bit := s0_sel_src.is128bit || s0_misalignWith16Byte 729 730 // only first issue of int / vec load intructions need to check full vaddr 731 s0_tlb_fullva := Mux(s0_src_valid_vec(mab_idx), 732 io.misalign_ldin.bits.fullva, 733 Mux(s0_src_select_vec(vec_iss_idx), 734 io.vecldin.bits.vaddr, 735 Mux( 736 s0_src_select_vec(int_iss_idx), 737 io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), XLEN), 738 s0_dcache_vaddr 739 ) 740 ) 741 ) 742 743 s0_tlb_hlv := Mux( 744 s0_src_valid_vec(mab_idx), 745 LSUOpType.isHlv(io.misalign_ldin.bits.uop.fuOpType), 746 Mux( 747 s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx), 748 LSUOpType.isHlv(io.replay.bits.uop.fuOpType), 749 Mux( 750 s0_src_valid_vec(int_iss_idx), 751 LSUOpType.isHlv(io.ldin.bits.uop.fuOpType), 752 false.B 753 ) 754 ) 755 ) 756 s0_tlb_hlvx := Mux( 757 s0_src_valid_vec(mab_idx), 758 LSUOpType.isHlvx(io.misalign_ldin.bits.uop.fuOpType), 759 Mux( 760 s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx), 761 LSUOpType.isHlvx(io.replay.bits.uop.fuOpType), 762 Mux( 763 s0_src_valid_vec(int_iss_idx), 764 LSUOpType.isHlvx(io.ldin.bits.uop.fuOpType), 765 false.B 766 ) 767 ) 768 ) 769 770 // accept load flow if dcache ready (tlb is always ready) 771 // TODO: prefetch need writeback to loadQueueFlag 772 s0_out := DontCare 773 s0_out.vaddr := Mux(s0_nc_with_data, s0_sel_src.vaddr, s0_dcache_vaddr) 774 s0_out.fullva := s0_tlb_fullva 775 s0_out.mask := s0_sel_src.mask 776 s0_out.uop := s0_sel_src.uop 777 s0_out.isFirstIssue := s0_sel_src.isFirstIssue 778 s0_out.hasROBEntry := s0_sel_src.has_rob_entry 779 s0_out.isPrefetch := s0_sel_src.prf 780 s0_out.isHWPrefetch := s0_hw_prf_select 781 s0_out.isFastReplay := s0_sel_src.fast_rep 782 s0_out.isLoadReplay := s0_sel_src.ld_rep 783 s0_out.isFastPath := s0_sel_src.l2l_fwd 784 s0_out.mshrid := s0_sel_src.mshrid 785 s0_out.isvec := s0_sel_src.isvec 786 s0_out.is128bit := s0_is128bit 787 s0_out.isFrmMisAlignBuf := s0_sel_src.frm_mabuf 788 s0_out.uop_unit_stride_fof := s0_sel_src.uop_unit_stride_fof 789 s0_out.paddr := 790 Mux(s0_src_select_vec(nc_idx), io.lsq.nc_ldin.bits.paddr, 791 Mux(s0_src_select_vec(fast_rep_idx), io.fast_rep_in.bits.paddr, 792 Mux(s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i, 0.U, 793 io.prefetch_req.bits.paddr))) // only for nc, fast_rep, prefetch 794 s0_out.tlbNoQuery := s0_tlb_no_query 795 // s0_out.rob_idx_valid := s0_rob_idx_valid 796 // s0_out.inner_idx := s0_inner_idx 797 // s0_out.rob_idx := s0_rob_idx 798 s0_out.reg_offset := s0_sel_src.reg_offset 799 // s0_out.offset := s0_offset 800 s0_out.vecActive := s0_sel_src.vecActive 801 s0_out.usSecondInv := s0_sel_src.usSecondInv 802 s0_out.is_first_ele := s0_sel_src.is_first_ele 803 s0_out.elemIdx := s0_sel_src.elemIdx 804 s0_out.elemIdxInsideVd := s0_sel_src.elemIdxInsideVd 805 s0_out.alignedType := s0_sel_src.alignedType 806 s0_out.mbIndex := s0_sel_src.mbIndex 807 s0_out.vecBaseVaddr := s0_sel_src.vecBaseVaddr 808 // s0_out.flowPtr := s0_sel_src.flowPtr 809 s0_out.uop.exceptionVec(loadAddrMisaligned) := (!s0_addr_aligned || s0_sel_src.uop.exceptionVec(loadAddrMisaligned)) && s0_sel_src.vecActive && !s0_misalignWith16Byte 810 s0_out.isMisalign := (!s0_addr_aligned || s0_sel_src.uop.exceptionVec(loadAddrMisaligned)) && s0_sel_src.vecActive 811 s0_out.forward_tlDchannel := s0_src_select_vec(super_rep_idx) 812 when(io.tlb.req.valid && s0_sel_src.isFirstIssue) { 813 s0_out.uop.debugInfo.tlbFirstReqTime := GTimer() 814 }.otherwise{ 815 s0_out.uop.debugInfo.tlbFirstReqTime := s0_sel_src.uop.debugInfo.tlbFirstReqTime 816 } 817 s0_out.schedIndex := s0_sel_src.sched_idx 818 //for Svpbmt Nc 819 s0_out.nc := s0_sel_src.isnc 820 s0_out.data := s0_sel_src.data 821 s0_out.misalignWith16Byte := s0_misalignWith16Byte 822 s0_out.misalignNeedWakeUp := s0_misalignNeedWakeUp 823 s0_out.isFinalSplit := s0_finalSplit 824 825 // load fast replay 826 io.fast_rep_in.ready := (s0_can_go && io.dcache.req.ready && s0_src_ready_vec(fast_rep_idx)) 827 828 // mmio 829 io.lsq.uncache.ready := s0_mmio_fire 830 io.lsq.nc_ldin.ready := s0_src_ready_vec(nc_idx) && s0_can_go 831 832 // load flow source ready 833 // cache missed load has highest priority 834 // always accept cache missed load flow from load replay queue 835 io.replay.ready := (s0_can_go && io.dcache.req.ready && (s0_src_ready_vec(lsq_rep_idx) && !s0_rep_stall || s0_src_select_vec(super_rep_idx))) 836 837 // accept load flow from rs when: 838 // 1) there is no lsq-replayed load 839 // 2) there is no fast replayed load 840 // 3) there is no high confidence prefetch request 841 io.vecldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(vec_iss_idx) 842 io.ldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(int_iss_idx) 843 io.misalign_ldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(mab_idx) 844 845 // for hw prefetch load flow feedback, to be added later 846 // io.prefetch_in.ready := s0_hw_prf_select 847 848 // dcache replacement extra info 849 // TODO: should prefetch load update replacement? 850 io.dcache.replacementUpdated := Mux(s0_src_select_vec(lsq_rep_idx) || s0_src_select_vec(super_rep_idx), io.replay.bits.replacementUpdated, false.B) 851 852 // load wakeup 853 // TODO: vector load wakeup? frm_mabuf wakeup? 854 val s0_wakeup_selector = Seq( 855 s0_misalign_wakeup_fire, 856 s0_src_valid_vec(super_rep_idx), 857 s0_src_valid_vec(fast_rep_idx), 858 s0_mmio_fire, 859 s0_nc_fire, 860 s0_src_valid_vec(lsq_rep_idx), 861 s0_src_valid_vec(int_iss_idx) 862 ) 863 val s0_wakeup_format = Seq( 864 io.misalign_ldin.bits.uop, 865 io.replay.bits.uop, 866 io.fast_rep_in.bits.uop, 867 io.lsq.uncache.bits.uop, 868 io.lsq.nc_ldin.bits.uop, 869 io.replay.bits.uop, 870 io.ldin.bits.uop, 871 ) 872 val s0_wakeup_uop = ParallelPriorityMux(s0_wakeup_selector, s0_wakeup_format) 873 io.wakeup.valid := s0_fire && !s0_sel_src.isvec && !s0_sel_src.frm_mabuf && ( 874 s0_src_valid_vec(super_rep_idx) || 875 s0_src_valid_vec(fast_rep_idx) || 876 s0_src_valid_vec(lsq_rep_idx) || 877 (s0_src_valid_vec(int_iss_idx) && !s0_sel_src.prf && 878 !s0_src_valid_vec(vec_iss_idx) && !s0_src_valid_vec(high_pf_idx)) 879 ) || s0_mmio_fire || s0_nc_fire || s0_misalign_wakeup_fire 880 io.wakeup.bits := s0_wakeup_uop 881 882 // prefetch.i(Zicbop) 883 io.ifetchPrefetch.valid := RegNext(s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i) 884 io.ifetchPrefetch.bits.vaddr := RegEnable(s0_out.vaddr, 0.U, s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i) 885 886 XSDebug(io.dcache.req.fire, 887 p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_sel_src.uop.pc)}, vaddr ${Hexadecimal(s0_dcache_vaddr)}\n" 888 ) 889 XSDebug(s0_valid, 890 p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lId ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " + 891 p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n") 892 893 // Pipeline 894 // -------------------------------------------------------------------------------- 895 // stage 1 896 // -------------------------------------------------------------------------------- 897 // TLB resp (send paddr to dcache) 898 val s1_valid = RegInit(false.B) 899 val s1_in = Wire(new LqWriteBundle) 900 val s1_out = Wire(new LqWriteBundle) 901 val s1_kill = Wire(Bool()) 902 val s1_can_go = s2_ready 903 val s1_fire = s1_valid && !s1_kill && s1_can_go 904 val s1_vecActive = RegEnable(s0_out.vecActive, true.B, s0_fire) 905 val s1_nc_with_data = RegNext(s0_nc_with_data) 906 907 s1_ready := !s1_valid || s1_kill || s2_ready 908 when (s0_fire) { s1_valid := true.B } 909 .elsewhen (s1_fire) { s1_valid := false.B } 910 .elsewhen (s1_kill) { s1_valid := false.B } 911 s1_in := RegEnable(s0_out, s0_fire) 912 913 val s1_fast_rep_dly_kill = RegEnable(io.fast_rep_in.bits.lateKill, io.fast_rep_in.valid) && s1_in.isFastReplay 914 val s1_fast_rep_dly_err = RegEnable(io.fast_rep_in.bits.delayedLoadError, io.fast_rep_in.valid) && s1_in.isFastReplay 915 val s1_l2l_fwd_dly_err = RegEnable(io.l2l_fwd_in.dly_ld_err, io.l2l_fwd_in.valid) && s1_in.isFastPath 916 val s1_dly_err = s1_fast_rep_dly_err || s1_l2l_fwd_dly_err 917 val s1_vaddr_hi = Wire(UInt()) 918 val s1_vaddr_lo = Wire(UInt()) 919 val s1_vaddr = Wire(UInt()) 920 val s1_paddr_dup_lsu = Wire(UInt()) 921 val s1_gpaddr_dup_lsu = Wire(UInt()) 922 val s1_paddr_dup_dcache = Wire(UInt()) 923 val s1_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR // af & pf exception were modified below. 924 val s1_tlb_miss = io.tlb.resp.bits.miss && io.tlb.resp.valid && s1_valid 925 val s1_tlb_fast_miss = io.tlb.resp.bits.fastMiss && io.tlb.resp.valid && s1_valid 926 val s1_tlb_hit = !io.tlb.resp.bits.miss && io.tlb.resp.valid && s1_valid 927 val s1_pbmt = Mux(s1_tlb_hit, io.tlb.resp.bits.pbmt.head, 0.U(Pbmt.width.W)) 928 val s1_nc = s1_in.nc 929 val s1_prf = s1_in.isPrefetch 930 val s1_hw_prf = s1_in.isHWPrefetch 931 val s1_sw_prf = s1_prf && !s1_hw_prf 932 val s1_tlb_memidx = io.tlb.resp.bits.memidx 933 934 s1_vaddr_hi := s1_in.vaddr(VAddrBits - 1, 6) 935 s1_vaddr_lo := s1_in.vaddr(5, 0) 936 s1_vaddr := Cat(s1_vaddr_hi, s1_vaddr_lo) 937 s1_paddr_dup_lsu := Mux(s1_in.tlbNoQuery, s1_in.paddr, io.tlb.resp.bits.paddr(0)) 938 s1_paddr_dup_dcache := Mux(s1_in.tlbNoQuery, s1_in.paddr, io.tlb.resp.bits.paddr(1)) 939 s1_gpaddr_dup_lsu := Mux(s1_in.isFastReplay, s1_in.paddr, io.tlb.resp.bits.gpaddr(0)) 940 941 when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_in.uop.lqIdx.value) { 942 // printf("load idx = %d\n", s1_tlb_memidx.idx) 943 s1_out.uop.debugInfo.tlbRespTime := GTimer() 944 } 945 946 io.tlb.req_kill := s1_kill || s1_dly_err 947 io.tlb.req.bits.pmp_addr := s1_in.paddr 948 io.tlb.resp.ready := true.B 949 950 io.dcache.s1_paddr_dup_lsu <> s1_paddr_dup_lsu 951 io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache 952 io.dcache.s1_kill := s1_kill || s1_dly_err || s1_tlb_miss || s1_exception 953 io.dcache.s1_kill_data_read := s1_kill || s1_dly_err || s1_tlb_fast_miss 954 955 // store to load forwarding 956 io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf) 957 io.sbuffer.vaddr := s1_vaddr 958 io.sbuffer.paddr := s1_paddr_dup_lsu 959 io.sbuffer.uop := s1_in.uop 960 io.sbuffer.sqIdx := s1_in.uop.sqIdx 961 io.sbuffer.mask := s1_in.mask 962 io.sbuffer.pc := s1_in.uop.pc // FIXME: remove it 963 964 io.ubuffer.valid := s1_valid && s1_nc_with_data && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf) 965 io.ubuffer.vaddr := s1_vaddr 966 io.ubuffer.paddr := s1_paddr_dup_lsu 967 io.ubuffer.uop := s1_in.uop 968 io.ubuffer.sqIdx := s1_in.uop.sqIdx 969 io.ubuffer.mask := s1_in.mask 970 io.ubuffer.pc := s1_in.uop.pc // FIXME: remove it 971 972 io.lsq.forward.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf) 973 io.lsq.forward.vaddr := s1_vaddr 974 io.lsq.forward.paddr := s1_paddr_dup_lsu 975 io.lsq.forward.uop := s1_in.uop 976 io.lsq.forward.sqIdx := s1_in.uop.sqIdx 977 io.lsq.forward.sqIdxMask := 0.U 978 io.lsq.forward.mask := s1_in.mask 979 io.lsq.forward.pc := s1_in.uop.pc // FIXME: remove it 980 981 // st-ld violation query 982 // if store unit is 128-bits memory access, need match 128-bit 983 private val s1_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || ((s1_in.isvec || s1_in.misalignWith16Byte) && s1_in.is128bit))) 984 val s1_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s1_isMatch128).map{case (w, s) => {Mux(s, 985 s1_paddr_dup_lsu(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4), 986 s1_paddr_dup_lsu(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}}) 987 val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => { 988 io.stld_nuke_query(w).valid && // query valid 989 isAfter(s1_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store 990 s1_nuke_paddr_match(w) && // paddr match 991 (s1_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain 992 })).asUInt.orR && !s1_tlb_miss 993 994 s1_out := s1_in 995 s1_out.vaddr := s1_vaddr 996 s1_out.fullva := io.tlb.resp.bits.fullva 997 s1_out.vaNeedExt := io.tlb.resp.bits.excp(0).vaNeedExt 998 s1_out.isHyper := io.tlb.resp.bits.excp(0).isHyper 999 s1_out.paddr := s1_paddr_dup_lsu 1000 s1_out.gpaddr := s1_gpaddr_dup_lsu 1001 s1_out.isForVSnonLeafPTE := io.tlb.resp.bits.isForVSnonLeafPTE 1002 s1_out.tlbMiss := s1_tlb_miss 1003 s1_out.ptwBack := io.tlb.resp.bits.ptwBack 1004 s1_out.rep_info.debug := s1_in.uop.debugInfo 1005 s1_out.rep_info.nuke := s1_nuke && !s1_sw_prf 1006 s1_out.delayedLoadError := s1_dly_err 1007 s1_out.nc := s1_nc || Pbmt.isNC(s1_pbmt) 1008 s1_out.mmio := Pbmt.isIO(s1_pbmt) 1009 1010 when (!s1_dly_err) { 1011 // current ori test will cause the case of ldest == 0, below will be modifeid in the future. 1012 // af & pf exception were modified 1013 // if is tlbNoQuery request, don't trigger exception from tlb resp 1014 s1_out.uop.exceptionVec(loadPageFault) := io.tlb.resp.bits.excp(0).pf.ld && s1_vecActive && !s1_tlb_miss && !s1_in.tlbNoQuery 1015 s1_out.uop.exceptionVec(loadGuestPageFault) := io.tlb.resp.bits.excp(0).gpf.ld && !s1_tlb_miss && !s1_in.tlbNoQuery 1016 s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld && s1_vecActive && !s1_tlb_miss && !s1_in.tlbNoQuery 1017 when (RegNext(io.tlb.req.bits.checkfullva) && 1018 (s1_out.uop.exceptionVec(loadPageFault) || 1019 s1_out.uop.exceptionVec(loadGuestPageFault) || 1020 s1_out.uop.exceptionVec(loadAccessFault))) { 1021 s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B 1022 s1_out.isMisalign := false.B 1023 } 1024 } .otherwise { 1025 s1_out.uop.exceptionVec(loadPageFault) := false.B 1026 s1_out.uop.exceptionVec(loadGuestPageFault) := false.B 1027 s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B 1028 s1_out.isMisalign := false.B 1029 s1_out.uop.exceptionVec(loadAccessFault) := s1_dly_err && s1_vecActive 1030 } 1031 1032 // pointer chasing 1033 val s1_try_ptr_chasing = GatedValidRegNext(s0_do_try_ptr_chasing, false.B) 1034 val s1_ptr_chasing_vaddr = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing) 1035 val s1_fu_op_type_not_ld = WireInit(false.B) 1036 val s1_not_fast_match = WireInit(false.B) 1037 val s1_addr_mismatch = WireInit(false.B) 1038 val s1_addr_misaligned = WireInit(false.B) 1039 val s1_fast_mismatch = WireInit(false.B) 1040 val s1_ptr_chasing_canceled = WireInit(false.B) 1041 val s1_cancel_ptr_chasing = WireInit(false.B) 1042 1043 val s1_redirect_reg = Wire(Valid(new Redirect)) 1044 s1_redirect_reg.bits := RegEnable(io.redirect.bits, io.redirect.valid) 1045 s1_redirect_reg.valid := GatedValidRegNext(io.redirect.valid) 1046 1047 s1_kill := s1_fast_rep_dly_kill || 1048 s1_cancel_ptr_chasing || 1049 s1_in.uop.robIdx.needFlush(io.redirect) || 1050 (s1_in.uop.robIdx.needFlush(s1_redirect_reg) && !GatedValidRegNext(s0_try_ptr_chasing)) || 1051 RegEnable(s0_kill, false.B, io.ldin.valid || 1052 io.vecldin.valid || io.replay.valid || 1053 io.l2l_fwd_in.valid || io.fast_rep_in.valid || 1054 io.misalign_ldin.valid || io.lsq.nc_ldin.valid 1055 ) 1056 1057 if (EnableLoadToLoadForward) { 1058 // Sometimes, we need to cancel the load-load forwarding. 1059 // These can be put at S0 if timing is bad at S1. 1060 // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow) 1061 s1_addr_mismatch := s1_ptr_chasing_vaddr(6) || 1062 RegEnable(io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing) 1063 // Case 1: the address is not 64-bit aligned or the fuOpType is not LD 1064 s1_addr_misaligned := s1_ptr_chasing_vaddr(2, 0).orR 1065 s1_fu_op_type_not_ld := io.ldin.bits.uop.fuOpType =/= LSUOpType.ld 1066 // Case 2: this load-load uop is cancelled 1067 s1_ptr_chasing_canceled := !io.ldin.valid 1068 // Case 3: fast mismatch 1069 s1_fast_mismatch := RegEnable(!io.ld_fast_match, s0_do_try_ptr_chasing) 1070 1071 when (s1_try_ptr_chasing) { 1072 s1_cancel_ptr_chasing := s1_addr_mismatch || 1073 s1_addr_misaligned || 1074 s1_fu_op_type_not_ld || 1075 s1_ptr_chasing_canceled || 1076 s1_fast_mismatch 1077 1078 s1_in.uop := io.ldin.bits.uop 1079 s1_in.isFirstIssue := io.ldin.bits.isFirstIssue 1080 s1_vaddr_lo := s1_ptr_chasing_vaddr(5, 0) 1081 s1_paddr_dup_lsu := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 1082 s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 1083 1084 // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb) 1085 s1_in.uop.debugInfo.tlbFirstReqTime := GTimer() 1086 s1_in.uop.debugInfo.tlbRespTime := GTimer() 1087 } 1088 when (!s1_cancel_ptr_chasing) { 1089 s0_ptr_chasing_canceled := s1_try_ptr_chasing && 1090 !io.replay.fire && !io.fast_rep_in.fire && 1091 !(s0_src_valid_vec(high_pf_idx) && io.canAcceptHighConfPrefetch) && 1092 !io.misalign_ldin.fire && 1093 !io.lsq.nc_ldin.valid 1094 when (s1_try_ptr_chasing) { 1095 io.ldin.ready := true.B 1096 } 1097 } 1098 } 1099 1100 // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding 1101 val s1_sqIdx_mask = RegEnable(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize), s0_fire) 1102 // to enable load-load, sqIdxMask must be calculated based on ldin.uop 1103 // If the timing here is not OK, load-load forwarding has to be disabled. 1104 // Or we calculate sqIdxMask at RS?? 1105 io.lsq.forward.sqIdxMask := s1_sqIdx_mask 1106 if (EnableLoadToLoadForward) { 1107 when (s1_try_ptr_chasing) { 1108 io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize) 1109 } 1110 } 1111 1112 io.forward_mshr.valid := s1_valid && s1_out.forward_tlDchannel 1113 io.forward_mshr.mshrid := s1_out.mshrid 1114 io.forward_mshr.paddr := s1_out.paddr 1115 1116 val loadTrigger = Module(new MemTrigger(MemType.LOAD)) 1117 loadTrigger.io.fromCsrTrigger.tdataVec := io.fromCsrTrigger.tdataVec 1118 loadTrigger.io.fromCsrTrigger.tEnableVec := io.fromCsrTrigger.tEnableVec 1119 loadTrigger.io.fromCsrTrigger.triggerCanRaiseBpExp := io.fromCsrTrigger.triggerCanRaiseBpExp 1120 loadTrigger.io.fromCsrTrigger.debugMode := io.fromCsrTrigger.debugMode 1121 loadTrigger.io.fromLoadStore.vaddr := s1_vaddr 1122 loadTrigger.io.fromLoadStore.isVectorUnitStride := s1_in.isvec && s1_in.is128bit 1123 loadTrigger.io.fromLoadStore.mask := s1_in.mask 1124 1125 val s1_trigger_action = loadTrigger.io.toLoadStore.triggerAction 1126 val s1_trigger_debug_mode = TriggerAction.isDmode(s1_trigger_action) 1127 val s1_trigger_breakpoint = TriggerAction.isExp(s1_trigger_action) 1128 s1_out.uop.trigger := s1_trigger_action 1129 s1_out.uop.exceptionVec(breakPoint) := s1_trigger_breakpoint 1130 s1_out.vecVaddrOffset := Mux( 1131 s1_trigger_debug_mode || s1_trigger_breakpoint, 1132 loadTrigger.io.toLoadStore.triggerVaddr - s1_in.vecBaseVaddr, 1133 s1_in.vaddr + genVFirstUnmask(s1_in.mask).asUInt - s1_in.vecBaseVaddr 1134 ) 1135 s1_out.vecTriggerMask := Mux(s1_trigger_debug_mode || s1_trigger_breakpoint, loadTrigger.io.toLoadStore.triggerMask, 0.U) 1136 1137 XSDebug(s1_valid, 1138 p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 1139 p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n") 1140 1141 // Pipeline 1142 // -------------------------------------------------------------------------------- 1143 // stage 2 1144 // -------------------------------------------------------------------------------- 1145 // s2: DCache resp 1146 val s2_valid = RegInit(false.B) 1147 val s2_in = Wire(new LqWriteBundle) 1148 val s2_out = Wire(new LqWriteBundle) 1149 val s2_kill = Wire(Bool()) 1150 val s2_can_go = s3_ready 1151 val s2_fire = s2_valid && !s2_kill && s2_can_go 1152 val s2_vecActive = RegEnable(s1_out.vecActive, true.B, s1_fire) 1153 val s2_isvec = RegEnable(s1_out.isvec, false.B, s1_fire) 1154 val s2_data_select = genRdataOH(s2_out.uop) 1155 val s2_data_select_by_offset = genDataSelectByOffset(s2_out.paddr(3, 0)) 1156 val s2_frm_mabuf = s2_in.isFrmMisAlignBuf 1157 val s2_pbmt = RegEnable(s1_pbmt, s1_fire) 1158 val s2_trigger_debug_mode = RegEnable(s1_trigger_debug_mode, false.B, s1_fire) 1159 val s2_nc_with_data = RegNext(s1_nc_with_data) 1160 val s2_mmio_req = Wire(Valid(new MemExuOutput)) 1161 s2_mmio_req.valid := RegNextN(io.lsq.uncache.fire, 2, Some(false.B)) 1162 s2_mmio_req.bits := RegNextN(io.lsq.uncache.bits, 2) 1163 1164 val s3_misalign_wakeup_req = Wire(Valid(new LqWriteBundle)) 1165 val s3_misalign_wakeup_req_bits = WireInit(0.U.asTypeOf(new LqWriteBundle)) 1166 connectSamePort(s3_misalign_wakeup_req_bits, io.misalign_ldin.bits) 1167 s3_misalign_wakeup_req.valid := RegNextN(io.misalign_ldin.bits.misalignNeedWakeUp && io.misalign_ldin.fire, 3, Some(false.B)) 1168 s3_misalign_wakeup_req.bits := RegNextN(s3_misalign_wakeup_req_bits, 3) 1169 1170 s2_kill := s2_in.uop.robIdx.needFlush(io.redirect) 1171 s2_ready := !s2_valid || s2_kill || s3_ready 1172 when (s1_fire) { s2_valid := true.B } 1173 .elsewhen (s2_fire) { s2_valid := false.B } 1174 .elsewhen (s2_kill) { s2_valid := false.B } 1175 s2_in := RegEnable(s1_out, s1_fire) 1176 1177 val s2_pmp = WireInit(io.pmp) 1178 val s2_isMisalign = WireInit(s2_in.isMisalign) 1179 1180 val s2_prf = s2_in.isPrefetch 1181 val s2_hw_prf = s2_in.isHWPrefetch 1182 val s2_exception_vec = WireInit(s2_in.uop.exceptionVec) 1183 1184 // exception that may cause load addr to be invalid / illegal 1185 // if such exception happen, that inst and its exception info 1186 // will be force writebacked to rob 1187 1188 // The response signal of `pmp/pma` is credible only after the physical address is actually generated. 1189 // Therefore, the response signals of pmp/pma generated after an address translation has produced an `access fault` or a `page fault` are completely unreliable. 1190 val s2_un_access_exception = s2_vecActive && ( 1191 s2_in.uop.exceptionVec(loadAccessFault) || 1192 s2_in.uop.exceptionVec(loadPageFault) || 1193 s2_in.uop.exceptionVec(loadGuestPageFault) 1194 ) 1195 // This real physical address is located in uncache space. 1196 val s2_actually_uncache = !s2_in.tlbMiss && !s2_un_access_exception && Pbmt.isPMA(s2_pbmt) && s2_pmp.mmio || s2_in.nc || s2_in.mmio 1197 val s2_uncache = !s2_prf && s2_actually_uncache 1198 val s2_memBackTypeMM = !s2_pmp.mmio 1199 when (!s2_in.delayedLoadError) { 1200 s2_exception_vec(loadAccessFault) := s2_vecActive && ( 1201 s2_in.uop.exceptionVec(loadAccessFault) || 1202 s2_pmp.ld || 1203 (s2_isvec || s2_frm_mabuf) && s2_uncache || 1204 io.dcache.resp.bits.tag_error && GatedValidRegNext(io.csrCtrl.cache_error_enable) 1205 ) 1206 } 1207 1208 // soft prefetch will not trigger any exception (but ecc error interrupt may 1209 // be triggered) 1210 val s2_tlb_unrelated_exceps = s2_in.uop.exceptionVec(loadAddrMisaligned) || 1211 s2_in.uop.exceptionVec(breakPoint) 1212 when (!s2_in.delayedLoadError && (s2_prf || s2_in.tlbMiss && !s2_tlb_unrelated_exceps)) { 1213 s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 1214 s2_isMisalign := false.B 1215 } 1216 val s2_exception = s2_vecActive && 1217 (s2_trigger_debug_mode || ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR) 1218 val s2_mis_align = s2_valid && GatedValidRegNext(io.csrCtrl.hd_misalign_ld_enable) && 1219 s2_out.isMisalign && !s2_in.misalignWith16Byte && !s2_exception_vec(breakPoint) && !s2_trigger_debug_mode && !s2_uncache 1220 val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan, s2_d_corrupt) = io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr) 1221 val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr, s2_mshr_corrupt) = io.forward_mshr.forward() 1222 val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr) 1223 1224 // writeback access fault caused by ecc error / bus error 1225 // * ecc data error is slow to generate, so we will not use it until load stage 3 1226 // * in load stage 3, an extra signal io.load_error will be used to 1227 // * if pbmt =/= 0, mmio is up to pbmt; otherwise, it's up to pmp 1228 val s2_tlb_hit = RegNext(s1_tlb_hit) 1229 val s2_mmio = !s2_prf && 1230 !s2_exception && !s2_in.tlbMiss && 1231 Mux(Pbmt.isUncache(s2_pbmt), s2_in.mmio, s2_tlb_hit && s2_pmp.mmio) 1232 1233 val s2_full_fwd = Wire(Bool()) 1234 val s2_mem_amb = s2_in.uop.storeSetHit && 1235 io.lsq.forward.addrInvalid && RegNext(io.lsq.forward.valid) 1236 1237 val s2_tlb_miss = s2_in.tlbMiss 1238 val s2_fwd_fail = io.lsq.forward.dataInvalid && RegNext(io.lsq.forward.valid) 1239 val s2_dcache_miss = io.dcache.resp.bits.miss && 1240 !s2_fwd_frm_d_chan_or_mshr && 1241 !s2_full_fwd && !s2_in.nc 1242 1243 val s2_mq_nack = io.dcache.s2_mq_nack && 1244 !s2_fwd_frm_d_chan_or_mshr && 1245 !s2_full_fwd && !s2_in.nc 1246 1247 val s2_bank_conflict = io.dcache.s2_bank_conflict && 1248 !s2_fwd_frm_d_chan_or_mshr && 1249 !s2_full_fwd && !s2_in.nc 1250 1251 val s2_wpu_pred_fail = io.dcache.s2_wpu_pred_fail && 1252 !s2_fwd_frm_d_chan_or_mshr && 1253 !s2_full_fwd && !s2_in.nc 1254 1255 val s2_rar_nack = io.lsq.ldld_nuke_query.req.valid && 1256 !io.lsq.ldld_nuke_query.req.ready 1257 1258 val s2_raw_nack = io.lsq.stld_nuke_query.req.valid && 1259 !io.lsq.stld_nuke_query.req.ready 1260 // st-ld violation query 1261 // NeedFastRecovery Valid when 1262 // 1. Fast recovery query request Valid. 1263 // 2. Load instruction is younger than requestors(store instructions). 1264 // 3. Physical address match. 1265 // 4. Data contains. 1266 private val s2_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || ((s2_in.isvec || s2_in.misalignWith16Byte) && s2_in.is128bit))) 1267 val s2_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s2_isMatch128).map{case (w, s) => {Mux(s, 1268 s2_in.paddr(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4), 1269 s2_in.paddr(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}}) 1270 val s2_nuke = VecInit((0 until StorePipelineWidth).map(w => { 1271 io.stld_nuke_query(w).valid && // query valid 1272 isAfter(s2_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store 1273 s2_nuke_paddr_match(w) && // paddr match 1274 (s2_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain 1275 })).asUInt.orR && !s2_tlb_miss || s2_in.rep_info.nuke 1276 1277 val s2_cache_handled = io.dcache.resp.bits.handled 1278 1279 //if it is NC with data, it should handle the replayed situation. 1280 //else s2_uncache will enter uncache buffer. 1281 val s2_troublem = !s2_exception && 1282 (!s2_uncache || s2_nc_with_data) && 1283 !s2_prf && 1284 !s2_in.delayedLoadError 1285 1286 io.dcache.resp.ready := true.B 1287 val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_in.delayedLoadError || s2_uncache || s2_prf) 1288 assert(!(s2_valid && (s2_dcache_should_resp && !io.dcache.resp.valid)), "DCache response got lost") 1289 1290 // fast replay require 1291 val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail)) 1292 val s2_nuke_fast_rep = !s2_mq_nack && 1293 !s2_dcache_miss && 1294 !s2_bank_conflict && 1295 !s2_wpu_pred_fail && 1296 s2_nuke 1297 1298 val s2_fast_rep = !s2_in.isFastReplay && 1299 !s2_mem_amb && 1300 !s2_tlb_miss && 1301 !s2_fwd_fail && 1302 (s2_dcache_fast_rep || s2_nuke_fast_rep) && 1303 s2_troublem 1304 1305 // need allocate new entry 1306 val s2_can_query = !(s2_dcache_fast_rep || s2_nuke) && s2_troublem 1307 1308 val s2_data_fwded = s2_dcache_miss && s2_full_fwd 1309 1310 // For misaligned, we will keep the misaligned exception at S2 and before. 1311 // Here a judgement is made as to whether a misaligned exception needs to actually be generated. 1312 // We will generate misaligned exceptions at mmio. 1313 val s2_real_exceptionVec = WireInit(s2_exception_vec) 1314 s2_real_exceptionVec(loadAddrMisaligned) := s2_out.isMisalign && s2_uncache 1315 s2_real_exceptionVec(loadAccessFault) := s2_exception_vec(loadAccessFault) || 1316 s2_fwd_frm_d_chan && s2_d_corrupt || 1317 s2_fwd_data_valid && s2_fwd_frm_mshr && s2_mshr_corrupt 1318 val s2_real_exception = s2_vecActive && 1319 (s2_trigger_debug_mode || ExceptionNO.selectByFu(s2_real_exceptionVec, LduCfg).asUInt.orR) 1320 1321 val s2_fwd_vp_match_invalid = io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid || io.ubuffer.matchInvalid 1322 val s2_vp_match_fail = s2_fwd_vp_match_invalid && s2_troublem 1323 val s2_safe_wakeup = !s2_out.rep_info.need_rep && !s2_mmio && (!s2_in.nc || s2_nc_with_data) && !s2_mis_align && !s2_real_exception // don't need to replay and is not a mmio\misalign no data 1324 val s2_safe_writeback = s2_real_exception || s2_safe_wakeup || s2_vp_match_fail 1325 1326 // ld-ld violation require 1327 /** 1328 * In order to ensure timing, the RAR enqueue conditions need to be compromised, worst source of timing from pmp and missQueue. 1329 * * if LoadQueueRARSize == VirtualLoadQueueSize, just need to exclude prefetching. 1330 * * if LoadQueueRARSize < VirtualLoadQueueSize, need to consider the situation of s2_can_query 1331 */ 1332 if (LoadQueueRARSize == VirtualLoadQueueSize) { 1333 io.lsq.ldld_nuke_query.req.valid := s2_valid && !s2_prf 1334 } else { 1335 io.lsq.ldld_nuke_query.req.valid := s2_valid && s2_can_query 1336 } 1337 io.lsq.ldld_nuke_query.req.bits.uop := s2_in.uop 1338 io.lsq.ldld_nuke_query.req.bits.mask := s2_in.mask 1339 io.lsq.ldld_nuke_query.req.bits.paddr := s2_in.paddr 1340 io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid || s2_nc_with_data, true.B, !s2_dcache_miss) 1341 io.lsq.ldld_nuke_query.req.bits.is_nc := s2_nc_with_data 1342 1343 // st-ld violation require 1344 io.lsq.stld_nuke_query.req.valid := s2_valid && s2_can_query 1345 io.lsq.stld_nuke_query.req.bits.uop := s2_in.uop 1346 io.lsq.stld_nuke_query.req.bits.mask := s2_in.mask 1347 io.lsq.stld_nuke_query.req.bits.paddr := s2_in.paddr 1348 io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid || s2_nc_with_data, true.B, !s2_dcache_miss) 1349 io.lsq.stld_nuke_query.req.bits.is_nc := s2_nc_with_data 1350 1351 // merge forward result 1352 // lsq has higher priority than sbuffer 1353 val s2_fwd_mask = Wire(Vec((VLEN/8), Bool())) 1354 val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W))) 1355 s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.lsq.forward.dataInvalid 1356 // generate XLEN/8 Muxs 1357 for (i <- 0 until VLEN / 8) { 1358 s2_fwd_mask(i) := io.lsq.forward.forwardMask(i) || io.sbuffer.forwardMask(i) || io.ubuffer.forwardMask(i) 1359 s2_fwd_data(i) := 1360 Mux(io.lsq.forward.forwardMask(i), io.lsq.forward.forwardData(i), 1361 Mux(s2_nc_with_data, io.ubuffer.forwardData(i), 1362 io.sbuffer.forwardData(i))) 1363 } 1364 1365 XSDebug(s2_fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 1366 s2_in.uop.pc, 1367 io.lsq.forward.forwardData.asUInt, io.lsq.forward.forwardMask.asUInt, 1368 s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt 1369 ) 1370 1371 // 1372 s2_out := s2_in 1373 s2_out.uop.fpWen := s2_in.uop.fpWen 1374 s2_out.nc := s2_in.nc 1375 s2_out.mmio := s2_mmio 1376 s2_out.memBackTypeMM := s2_memBackTypeMM 1377 s2_out.isMisalign := s2_isMisalign 1378 s2_out.uop.flushPipe := false.B 1379 s2_out.uop.exceptionVec := s2_real_exceptionVec 1380 s2_out.forwardMask := s2_fwd_mask 1381 s2_out.forwardData := s2_fwd_data 1382 s2_out.handledByMSHR := s2_cache_handled 1383 s2_out.miss := s2_dcache_miss && s2_troublem 1384 s2_out.feedbacked := io.feedback_fast.valid 1385 s2_out.uop.vpu.vstart := Mux(s2_in.isLoadReplay || s2_in.isFastReplay, s2_in.uop.vpu.vstart, s2_in.vecVaddrOffset >> s2_in.uop.vpu.veew) 1386 1387 // Generate replay signal caused by: 1388 // * st-ld violation check 1389 // * tlb miss 1390 // * dcache replay 1391 // * forward data invalid 1392 // * dcache miss 1393 s2_out.rep_info.mem_amb := s2_mem_amb && s2_troublem 1394 s2_out.rep_info.tlb_miss := s2_tlb_miss && s2_troublem 1395 s2_out.rep_info.fwd_fail := s2_fwd_fail && s2_troublem 1396 s2_out.rep_info.dcache_rep := s2_mq_nack && s2_troublem 1397 s2_out.rep_info.dcache_miss := s2_dcache_miss && s2_troublem 1398 s2_out.rep_info.bank_conflict := s2_bank_conflict && s2_troublem 1399 s2_out.rep_info.wpu_fail := s2_wpu_pred_fail && s2_troublem 1400 s2_out.rep_info.rar_nack := s2_rar_nack && s2_troublem 1401 s2_out.rep_info.raw_nack := s2_raw_nack && s2_troublem 1402 s2_out.rep_info.nuke := s2_nuke && s2_troublem 1403 s2_out.rep_info.full_fwd := s2_data_fwded 1404 s2_out.rep_info.data_inv_sq_idx := io.lsq.forward.dataInvalidSqIdx 1405 s2_out.rep_info.addr_inv_sq_idx := io.lsq.forward.addrInvalidSqIdx 1406 s2_out.rep_info.rep_carry := io.dcache.resp.bits.replayCarry 1407 s2_out.rep_info.mshr_id := io.dcache.resp.bits.mshr_id 1408 s2_out.rep_info.last_beat := s2_in.paddr(log2Up(refillBytes)) 1409 s2_out.rep_info.debug := s2_in.uop.debugInfo 1410 s2_out.rep_info.tlb_id := io.tlb_hint.id 1411 s2_out.rep_info.tlb_full := io.tlb_hint.full 1412 1413 // if forward fail, replay this inst from fetch 1414 val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss 1415 // if ld-ld violation is detected, replay from this inst from fetch 1416 val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_in.tlbMiss 1417 1418 // to be removed 1419 io.feedback_fast.valid := false.B 1420 io.feedback_fast.bits.hit := false.B 1421 io.feedback_fast.bits.flushState := s2_in.ptwBack 1422 io.feedback_fast.bits.robIdx := s2_in.uop.robIdx 1423 io.feedback_fast.bits.sqIdx := s2_in.uop.sqIdx 1424 io.feedback_fast.bits.lqIdx := s2_in.uop.lqIdx 1425 io.feedback_fast.bits.sourceType := RSFeedbackType.lrqFull 1426 io.feedback_fast.bits.dataInvalidSqIdx := DontCare 1427 1428 io.ldCancel.ld1Cancel := false.B 1429 1430 // fast wakeup 1431 val s1_fast_uop_valid = WireInit(false.B) 1432 s1_fast_uop_valid := 1433 !io.dcache.s1_disable_fast_wakeup && 1434 s1_valid && 1435 !s1_kill && 1436 !io.tlb.resp.bits.miss && 1437 !io.lsq.forward.dataInvalidFast 1438 io.fast_uop.valid := GatedValidRegNext(s1_fast_uop_valid) && (s2_valid && !s2_out.rep_info.need_rep && !s2_uncache && !(s2_prf && !s2_hw_prf)) && !s2_isvec && !s2_frm_mabuf 1439 io.fast_uop.bits := RegEnable(s1_out.uop, s1_fast_uop_valid) 1440 1441 // 1442 io.s2_ptr_chasing := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire) 1443 1444 // RegNext prefetch train for better timing 1445 // ** Now, prefetch train is valid at load s3 ** 1446 val s2_prefetch_train_valid = WireInit(false.B) 1447 s2_prefetch_train_valid := s2_valid && !s2_actually_uncache && (!s2_in.tlbMiss || s2_hw_prf) 1448 io.prefetch_train.valid := GatedValidRegNext(s2_prefetch_train_valid) 1449 io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_valid) 1450 io.prefetch_train.bits.miss := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_valid) // TODO: use trace with bank conflict? 1451 io.prefetch_train.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_valid) 1452 io.prefetch_train.bits.meta_access := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_valid) 1453 io.prefetch_train.bits.isFinalSplit := false.B 1454 io.prefetch_train.bits.misalignWith16Byte := false.B 1455 io.prefetch_train.bits.misalignNeedWakeUp := false.B 1456 io.prefetch_train.bits.updateAddrValid := false.B 1457 io.prefetch_train.bits.isMisalign := false.B 1458 io.prefetch_train.bits.hasException := false.B 1459 io.s1_prefetch_spec := s1_fire 1460 io.s2_prefetch_spec := s2_prefetch_train_valid 1461 1462 val s2_prefetch_train_l1_valid = WireInit(false.B) 1463 s2_prefetch_train_l1_valid := s2_valid && !s2_actually_uncache 1464 io.prefetch_train_l1.valid := GatedValidRegNext(s2_prefetch_train_l1_valid) 1465 io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_l1_valid) 1466 io.prefetch_train_l1.bits.miss := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_l1_valid) 1467 io.prefetch_train_l1.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_l1_valid) 1468 io.prefetch_train_l1.bits.meta_access := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_l1_valid) 1469 io.prefetch_train_l1.bits.isFinalSplit := false.B 1470 io.prefetch_train_l1.bits.misalignWith16Byte := false.B 1471 io.prefetch_train_l1.bits.misalignNeedWakeUp := false.B 1472 io.prefetch_train_l1.bits.updateAddrValid := false.B 1473 io.prefetch_train_l1.bits.hasException := false.B 1474 io.prefetch_train_l1.bits.isMisalign := false.B 1475 if (env.FPGAPlatform){ 1476 io.dcache.s0_pc := DontCare 1477 io.dcache.s1_pc := DontCare 1478 io.dcache.s2_pc := DontCare 1479 }else{ 1480 io.dcache.s0_pc := s0_out.uop.pc 1481 io.dcache.s1_pc := s1_out.uop.pc 1482 io.dcache.s2_pc := s2_out.uop.pc 1483 } 1484 io.dcache.s2_kill := s2_pmp.ld || s2_pmp.st || s2_actually_uncache || s2_kill 1485 1486 val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready 1487 val s2_ld_valid_dup = RegInit(0.U(6.W)) 1488 s2_ld_valid_dup := 0x0.U(6.W) 1489 when (s1_ld_left_fire && !s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x3f.U(6.W) } 1490 when (s1_kill || s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x0.U(6.W) } 1491 assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch))) 1492 1493 // Pipeline 1494 // -------------------------------------------------------------------------------- 1495 // stage 3 1496 // -------------------------------------------------------------------------------- 1497 // writeback and update load queue 1498 val s3_valid = GatedValidRegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect)) 1499 val s3_in = RegEnable(s2_out, s2_fire) 1500 val s3_out = Wire(Valid(new MemExuOutput)) 1501 val s3_dcache_rep = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire) 1502 val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire) 1503 val s3_fast_rep = Wire(Bool()) 1504 val s3_nc_with_data = RegNext(s2_nc_with_data) 1505 val s3_troublem = GatedValidRegNext(s2_troublem) 1506 val s3_kill = s3_in.uop.robIdx.needFlush(io.redirect) 1507 val s3_vecout = Wire(new OnlyVecExuOutput) 1508 val s3_vecActive = RegEnable(s2_out.vecActive, true.B, s2_fire) 1509 val s3_isvec = RegEnable(s2_out.isvec, false.B, s2_fire) 1510 val s3_vec_alignedType = RegEnable(s2_out.alignedType, s2_fire) 1511 val s3_vec_mBIndex = RegEnable(s2_out.mbIndex, s2_fire) 1512 val s3_frm_mabuf = s3_in.isFrmMisAlignBuf 1513 val s3_mmio_req = RegNext(s2_mmio_req) 1514 val s3_pdest = RegNext(Mux(s2_valid, s2_out.uop.pdest, s2_mmio_req.bits.uop.pdest)) 1515 val s3_rfWen = RegEnable(Mux(s2_valid, s2_out.uop.rfWen, s2_mmio_req.bits.uop.rfWen), s2_valid || s2_mmio_req.valid) 1516 val s3_fpWen = RegEnable(Mux(s2_valid, s2_out.uop.fpWen, s2_mmio_req.bits.uop.fpWen), s2_valid || s2_mmio_req.valid) 1517 val s3_data_select = RegEnable(s2_data_select, 0.U(s2_data_select.getWidth.W), s2_fire) 1518 val s3_data_select_by_offset = RegEnable(s2_data_select_by_offset, 0.U.asTypeOf(s2_data_select_by_offset), s2_fire) 1519 val s3_hw_err = 1520 if (EnableAccurateLoadError) { 1521 io.dcache.resp.bits.error_delayed && GatedValidRegNext(io.csrCtrl.cache_error_enable) && s3_troublem 1522 } else { 1523 WireInit(false.B) 1524 } 1525 val s3_safe_wakeup = RegEnable(s2_safe_wakeup, s2_fire) 1526 val s3_safe_writeback = RegEnable(s2_safe_writeback, s2_fire) || s3_hw_err 1527 val s3_exception = RegEnable(s2_real_exception, s2_fire) 1528 val s3_mis_align = RegEnable(s2_mis_align, s2_fire) 1529 val s3_misalign_can_go = RegEnable(!isAfter(s2_out.uop.lqIdx, io.lsq.lqDeqPtr) || io.misalign_allow_spec, s2_fire) 1530 val s3_trigger_debug_mode = RegEnable(s2_trigger_debug_mode, false.B, s2_fire) 1531 1532 // TODO: Fix vector load merge buffer nack 1533 val s3_vec_mb_nack = Wire(Bool()) 1534 s3_vec_mb_nack := false.B 1535 XSError(s3_valid && s3_vec_mb_nack, "Merge buffer should always accept vector loads!") 1536 1537 s3_ready := !s3_valid || s3_kill || io.ldout.ready 1538 1539 1540 // forwrad last beat 1541 val s3_fast_rep_canceled = io.replay.valid && io.replay.bits.forward_tlDchannel || io.misalign_ldin.valid || !io.dcache.req.ready 1542 1543 val s3_can_enter_lsq_valid = s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && !s3_in.feedbacked 1544 io.lsq.ldin.valid := s3_can_enter_lsq_valid 1545 // TODO: check this --by hx 1546 // io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || !io.fast_rep_out.ready) && !s3_in.feedbacked && !s3_in.lateKill 1547 io.lsq.ldin.bits := s3_in 1548 io.lsq.ldin.bits.miss := s3_in.miss 1549 1550 // connect to misalignBuffer 1551 val toMisalignBufferValid = s3_can_enter_lsq_valid && s3_mis_align && !s3_frm_mabuf 1552 io.misalign_buf.valid := toMisalignBufferValid && s3_misalign_can_go 1553 io.misalign_buf.bits := s3_in 1554 1555 /* <------- DANGEROUS: Don't change sequence here ! -------> */ 1556 io.lsq.ldin.bits.nc_with_data := s3_nc_with_data 1557 io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools 1558 io.lsq.ldin.bits.replacementUpdated := io.dcache.resp.bits.replacementUpdated 1559 io.lsq.ldin.bits.missDbUpdated := GatedValidRegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated) 1560 io.lsq.ldin.bits.updateAddrValid := !s3_mis_align && (!s3_frm_mabuf || s3_in.isFinalSplit) || s3_exception 1561 io.lsq.ldin.bits.hasException := false.B 1562 1563 io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid 1564 io.lsq.ldin.bits.dcacheRequireReplay := s3_dcache_rep 1565 1566 val s3_vp_match_fail = GatedValidRegNext(s2_fwd_vp_match_invalid) && s3_troublem 1567 val s3_rep_frm_fetch = s3_vp_match_fail 1568 val s3_ldld_rep_inst = 1569 io.lsq.ldld_nuke_query.resp.valid && 1570 io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch && 1571 GatedValidRegNext(io.csrCtrl.ldld_vio_check_enable) 1572 val s3_flushPipe = s3_ldld_rep_inst 1573 1574 val s3_lrq_rep_info = WireInit(s3_in.rep_info) 1575 s3_lrq_rep_info.misalign_nack := toMisalignBufferValid && !(io.misalign_buf.ready && s3_misalign_can_go) 1576 val s3_lrq_sel_rep_cause = PriorityEncoderOH(s3_lrq_rep_info.cause.asUInt) 1577 val s3_replayqueue_rep_cause = WireInit(0.U.asTypeOf(s3_in.rep_info.cause)) 1578 1579 val s3_mab_rep_info = WireInit(s3_in.rep_info) 1580 val s3_mab_sel_rep_cause = PriorityEncoderOH(s3_mab_rep_info.cause.asUInt) 1581 val s3_misalign_rep_cause = WireInit(0.U.asTypeOf(s3_in.rep_info.cause)) 1582 1583 s3_misalign_rep_cause := VecInit(s3_mab_sel_rep_cause.asBools) 1584 1585 when (s3_exception || s3_hw_err || s3_rep_frm_fetch || s3_frm_mabuf) { 1586 s3_replayqueue_rep_cause := 0.U.asTypeOf(s3_lrq_rep_info.cause.cloneType) 1587 } .otherwise { 1588 s3_replayqueue_rep_cause := VecInit(s3_lrq_sel_rep_cause.asBools) 1589 1590 } 1591 io.lsq.ldin.bits.rep_info.cause := s3_replayqueue_rep_cause 1592 1593 1594 // Int load, if hit, will be writebacked at s3 1595 s3_out.valid := s3_valid && s3_safe_writeback && !toMisalignBufferValid 1596 s3_out.bits.uop := s3_in.uop 1597 s3_out.bits.uop.fpWen := s3_in.uop.fpWen 1598 s3_out.bits.uop.exceptionVec(loadAccessFault) := s3_in.uop.exceptionVec(loadAccessFault) && s3_vecActive 1599 s3_out.bits.uop.exceptionVec(hardwareError) := s3_hw_err && s3_vecActive 1600 s3_out.bits.uop.flushPipe := false.B 1601 s3_out.bits.uop.replayInst := false.B 1602 s3_out.bits.data := s3_in.data 1603 s3_out.bits.isFromLoadUnit := true.B 1604 s3_out.bits.debug.isMMIO := s3_in.mmio 1605 s3_out.bits.debug.isNC := s3_in.nc 1606 s3_out.bits.debug.isPerfCnt := false.B 1607 s3_out.bits.debug.paddr := s3_in.paddr 1608 s3_out.bits.debug.vaddr := s3_in.vaddr 1609 1610 // Vector load, writeback to merge buffer 1611 // TODO: Add assertion in merge buffer, merge buffer must accept vec load writeback 1612 s3_vecout.isvec := s3_isvec 1613 s3_vecout.vecdata := 0.U // Data will be assigned later 1614 s3_vecout.mask := s3_in.mask 1615 // s3_vecout.rob_idx_valid := s3_in.rob_idx_valid 1616 // s3_vecout.inner_idx := s3_in.inner_idx 1617 // s3_vecout.rob_idx := s3_in.rob_idx 1618 // s3_vecout.offset := s3_in.offset 1619 s3_vecout.reg_offset := s3_in.reg_offset 1620 s3_vecout.vecActive := s3_vecActive 1621 s3_vecout.is_first_ele := s3_in.is_first_ele 1622 // s3_vecout.uopQueuePtr := DontCare // uopQueuePtr is already saved in flow queue 1623 // s3_vecout.flowPtr := s3_in.flowPtr 1624 s3_vecout.elemIdx := s3_in.elemIdx // elemIdx is already saved in flow queue // TODO: 1625 s3_vecout.elemIdxInsideVd := s3_in.elemIdxInsideVd 1626 s3_vecout.trigger := s3_in.uop.trigger 1627 s3_vecout.vstart := s3_in.uop.vpu.vstart 1628 s3_vecout.vecTriggerMask := s3_in.vecTriggerMask 1629 val s3_usSecondInv = s3_in.usSecondInv 1630 1631 val s3_frm_mis_flush = s3_frm_mabuf && 1632 (io.misalign_ldout.bits.rep_info.fwd_fail || io.misalign_ldout.bits.rep_info.mem_amb || io.misalign_ldout.bits.rep_info.nuke 1633 || io.misalign_ldout.bits.rep_info.rar_nack) 1634 1635 io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe || s3_frm_mis_flush) && !s3_exception 1636 io.rollback.bits := DontCare 1637 io.rollback.bits.isRVC := s3_out.bits.uop.preDecodeInfo.isRVC 1638 io.rollback.bits.robIdx := s3_out.bits.uop.robIdx 1639 io.rollback.bits.ftqIdx := s3_out.bits.uop.ftqPtr 1640 io.rollback.bits.ftqOffset := s3_out.bits.uop.ftqOffset 1641 io.rollback.bits.level := Mux(s3_rep_frm_fetch || s3_frm_mis_flush, RedirectLevel.flush, RedirectLevel.flushAfter) 1642 io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc 1643 io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id 1644 /* <------- DANGEROUS: Don't change sequence here ! -------> */ 1645 1646 io.lsq.ldin.bits.uop := s3_out.bits.uop 1647// io.lsq.ldin.bits.uop.exceptionVec(loadAddrMisaligned) := Mux(s3_in.onlyMisalignException, false.B, s3_in.uop.exceptionVec(loadAddrMisaligned)) 1648 1649 val s3_revoke = s3_exception || io.lsq.ldin.bits.rep_info.need_rep || s3_mis_align 1650 io.lsq.ldld_nuke_query.revoke := s3_revoke 1651 io.lsq.stld_nuke_query.revoke := s3_revoke 1652 1653 // feedback slow 1654 s3_fast_rep := RegNext(s2_fast_rep) 1655 1656 val s3_fb_no_waiting = !s3_in.isLoadReplay && 1657 (!(s3_fast_rep && !s3_fast_rep_canceled)) && 1658 !s3_in.feedbacked 1659 1660 // feedback: scalar load will send feedback to RS 1661 // vector load will send signal to VL Merge Buffer, then send feedback at granularity of uops 1662 io.feedback_slow.valid := s3_valid && s3_fb_no_waiting && !s3_isvec && !s3_frm_mabuf 1663 io.feedback_slow.bits.hit := !s3_lrq_rep_info.need_rep || io.lsq.ldin.ready 1664 io.feedback_slow.bits.flushState := s3_in.ptwBack 1665 io.feedback_slow.bits.robIdx := s3_in.uop.robIdx 1666 io.feedback_slow.bits.sqIdx := s3_in.uop.sqIdx 1667 io.feedback_slow.bits.lqIdx := s3_in.uop.lqIdx 1668 io.feedback_slow.bits.sourceType := RSFeedbackType.lrqFull 1669 io.feedback_slow.bits.dataInvalidSqIdx := DontCare 1670 1671 // TODO: vector wakeup? 1672 io.ldCancel.ld2Cancel := s3_valid && !s3_safe_wakeup && !s3_isvec 1673 1674 val s3_ld_wb_meta = Mux(s3_valid, s3_out.bits, s3_mmio_req.bits) 1675 1676 // data from load queue refill 1677 val s3_ld_raw_data_frm_mmio = RegNextN(io.lsq.ld_raw_data, 3) 1678 val s3_merged_data_frm_mmio = s3_ld_raw_data_frm_mmio.mergedData() 1679 val s3_picked_data_frm_mmio = LookupTree(s3_ld_raw_data_frm_mmio.addrOffset, List( 1680 "b000".U -> s3_merged_data_frm_mmio(63, 0), 1681 "b001".U -> s3_merged_data_frm_mmio(63, 8), 1682 "b010".U -> s3_merged_data_frm_mmio(63, 16), 1683 "b011".U -> s3_merged_data_frm_mmio(63, 24), 1684 "b100".U -> s3_merged_data_frm_mmio(63, 32), 1685 "b101".U -> s3_merged_data_frm_mmio(63, 40), 1686 "b110".U -> s3_merged_data_frm_mmio(63, 48), 1687 "b111".U -> s3_merged_data_frm_mmio(63, 56) 1688 )) 1689 val s3_ld_data_frm_mmio = rdataHelper(s3_ld_raw_data_frm_mmio.uop, s3_picked_data_frm_mmio) 1690 1691 /* data from pipe, which forward from respectively 1692 * dcache hit: [D channel, mshr, sbuffer, sq] 1693 * nc_with_data: [sq] 1694 */ 1695 1696 val s2_ld_data_frm_nc = shiftDataToHigh(s2_out.paddr, s2_out.data) 1697 val s2_ld_raw_data_frm_pipe = Wire(new LoadDataFromDcacheBundle) 1698 s2_ld_raw_data_frm_pipe.respDcacheData := Mux(s2_nc_with_data, s2_ld_data_frm_nc, io.dcache.resp.bits.data) 1699 s2_ld_raw_data_frm_pipe.forward_D := s2_fwd_frm_d_chan && !s2_nc_with_data 1700 s2_ld_raw_data_frm_pipe.forwardData_D := s2_fwd_data_frm_d_chan 1701 s2_ld_raw_data_frm_pipe.forward_mshr := s2_fwd_frm_mshr && !s2_nc_with_data 1702 s2_ld_raw_data_frm_pipe.forwardData_mshr := s2_fwd_data_frm_mshr 1703 s2_ld_raw_data_frm_pipe.forward_result_valid := s2_fwd_data_valid 1704 1705 s2_ld_raw_data_frm_pipe.forwardMask := s2_fwd_mask 1706 s2_ld_raw_data_frm_pipe.forwardData := s2_fwd_data 1707 s2_ld_raw_data_frm_pipe.uop := s2_out.uop 1708 s2_ld_raw_data_frm_pipe.addrOffset := s2_out.paddr(3, 0) 1709 1710 val s2_ld_raw_data_frm_tlD = s2_ld_raw_data_frm_pipe.mergeTLData() 1711 val s2_merged_data_frm_pipe = s2_ld_raw_data_frm_pipe.mergeLsqFwdData(s2_ld_raw_data_frm_tlD) 1712 val s3_merged_data_frm_pipe = RegEnable(s2_merged_data_frm_pipe, s2_fire) 1713 1714 // duplicate reg for ldout and vecldout 1715 private val LdDataDup = 3 1716 require(LdDataDup >= 2) 1717 1718 val s3_data_frm_pipe = VecInit((0 until LdDataDup).map(i => { 1719 VecInit(Seq( 1720 s3_merged_data_frm_pipe(63, 0), 1721 s3_merged_data_frm_pipe(71, 8), 1722 s3_merged_data_frm_pipe(79, 16), 1723 s3_merged_data_frm_pipe(87, 24), 1724 s3_merged_data_frm_pipe(95, 32), 1725 s3_merged_data_frm_pipe(103, 40), 1726 s3_merged_data_frm_pipe(111, 48), 1727 s3_merged_data_frm_pipe(119, 56), 1728 s3_merged_data_frm_pipe(127, 64), 1729 s3_merged_data_frm_pipe(127, 72), 1730 s3_merged_data_frm_pipe(127, 80), 1731 s3_merged_data_frm_pipe(127, 88), 1732 s3_merged_data_frm_pipe(127, 96), 1733 s3_merged_data_frm_pipe(127, 104), 1734 s3_merged_data_frm_pipe(127, 112), 1735 s3_merged_data_frm_pipe(127, 120), 1736 )) 1737 })) 1738 val s3_picked_data_frm_pipe = VecInit((0 until LdDataDup).map(i => { 1739 Mux1H(s3_data_select_by_offset, s3_data_frm_pipe(i)) 1740 })) 1741 val s3_ld_data_frm_pipe = VecInit((0 until LdDataDup).map(i => { 1742 newRdataHelper(s3_data_select, s3_picked_data_frm_pipe(i)) 1743 })) 1744 1745 // FIXME: add 1 cycle delay ? 1746 // io.lsq.uncache.ready := !s3_valid 1747 val s3_ldout_valid = s3_mmio_req.valid || 1748 s3_out.valid && RegNext(!s2_out.isvec && !s2_out.isFrmMisAlignBuf) 1749 val s3_outexception = ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, LduCfg).asUInt.orR && s3_vecActive 1750 io.ldout.valid := s3_ldout_valid 1751 io.ldout.bits := s3_ld_wb_meta 1752 io.ldout.bits.data := Mux(s3_valid, s3_ld_data_frm_pipe(0), s3_ld_data_frm_mmio) 1753 io.ldout.bits.uop.rfWen := s3_rfWen 1754 io.ldout.bits.uop.fpWen := s3_fpWen 1755 io.ldout.bits.uop.pdest := s3_pdest 1756 io.ldout.bits.uop.exceptionVec := ExceptionNO.selectByFu(s3_ld_wb_meta.uop.exceptionVec, LduCfg) 1757 io.ldout.bits.isFromLoadUnit := true.B 1758 io.ldout.bits.uop.fuType := Mux( 1759 s3_valid && s3_isvec, 1760 FuType.vldu.U, 1761 FuType.ldu.U 1762 ) 1763 1764 XSError(s3_valid && s3_vecout.isvec && s3_in.vecActive && !s3_vecout.mask.orR, "In vecActive, mask complement should not be 0") 1765 // TODO: check this --hx 1766 // io.ldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && !s3_vecout.isvec || 1767 // io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls 1768 // io.ldout.bits.data := Mux(s3_out.valid, s3_ld_data_frm_pipe, s3_ld_data_frm_mmio) 1769 // io.ldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) || 1770 // s3_mmio_req.valid && !s3_mmio_req.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid 1771 1772 // s3 load fast replay 1773 io.fast_rep_out.valid := s3_valid && s3_fast_rep 1774 io.fast_rep_out.bits := s3_in 1775 io.fast_rep_out.bits.lateKill := s3_rep_frm_fetch 1776 io.fast_rep_out.bits.delayedLoadError := s3_hw_err 1777 1778 val vecFeedback = s3_valid && s3_fb_no_waiting && s3_lrq_rep_info.need_rep && !io.lsq.ldin.ready && s3_isvec 1779 1780 // vector output 1781 io.vecldout.bits.alignedType := s3_vec_alignedType 1782 // vec feedback 1783 io.vecldout.bits.vecFeedback := vecFeedback 1784 // TODO: VLSU, uncache data logic 1785 val vecdata = rdataVecHelper(s3_vec_alignedType(1,0), s3_picked_data_frm_pipe(1)) 1786 io.vecldout.bits.vecdata.get := Mux( 1787 s3_in.misalignWith16Byte, 1788 s3_picked_data_frm_pipe(1), 1789 Mux( 1790 s3_in.is128bit, 1791 s3_merged_data_frm_pipe, 1792 vecdata 1793 ) 1794 ) 1795 io.vecldout.bits.isvec := s3_vecout.isvec 1796 io.vecldout.bits.elemIdx := s3_vecout.elemIdx 1797 io.vecldout.bits.elemIdxInsideVd.get := s3_vecout.elemIdxInsideVd 1798 io.vecldout.bits.mask := s3_vecout.mask 1799 io.vecldout.bits.hasException := s3_exception 1800 io.vecldout.bits.reg_offset.get := s3_vecout.reg_offset 1801 io.vecldout.bits.usSecondInv := s3_usSecondInv 1802 io.vecldout.bits.mBIndex := s3_vec_mBIndex 1803 io.vecldout.bits.hit := !s3_lrq_rep_info.need_rep || io.lsq.ldin.ready 1804 io.vecldout.bits.sourceType := RSFeedbackType.lrqFull 1805 io.vecldout.bits.trigger := s3_vecout.trigger 1806 io.vecldout.bits.flushState := DontCare 1807 io.vecldout.bits.exceptionVec := ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, VlduCfg) 1808 io.vecldout.bits.vaddr := s3_in.fullva 1809 io.vecldout.bits.vaNeedExt := s3_in.vaNeedExt 1810 io.vecldout.bits.gpaddr := s3_in.gpaddr 1811 io.vecldout.bits.isForVSnonLeafPTE := s3_in.isForVSnonLeafPTE 1812 io.vecldout.bits.mmio := DontCare 1813 io.vecldout.bits.vstart := s3_vecout.vstart 1814 io.vecldout.bits.vecTriggerMask := s3_vecout.vecTriggerMask 1815 io.vecldout.bits.nc := DontCare 1816 1817 io.vecldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_vecout.isvec && !s3_mis_align && !s3_frm_mabuf //|| 1818 // TODO: check this, why !io.lsq.uncache.bits.isVls before? 1819 // Now vector instruction don't support mmio. 1820 // io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && io.lsq.uncache.bits.isVls 1821 //io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls 1822 1823 io.misalign_ldout.valid := s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && s3_frm_mabuf || s3_misalign_wakeup_req.valid 1824 io.misalign_ldout.bits := Mux(s3_misalign_wakeup_req.valid, s3_misalign_wakeup_req.bits, io.lsq.ldin.bits) 1825 io.misalign_ldout.bits.data := s3_picked_data_frm_pipe(2) 1826 io.misalign_ldout.bits.rep_info.cause := Mux(s3_misalign_wakeup_req.valid, 0.U.asTypeOf(s3_in.rep_info.cause), s3_misalign_rep_cause) 1827 1828 // fast load to load forward 1829 if (EnableLoadToLoadForward) { 1830 io.l2l_fwd_out.valid := s3_valid && !s3_in.mmio && !s3_in.nc && !s3_lrq_rep_info.need_rep 1831 io.l2l_fwd_out.data := Mux(s3_in.vaddr(3), s3_merged_data_frm_pipe(127, 64), s3_merged_data_frm_pipe(63, 0)) 1832 io.l2l_fwd_out.dly_ld_err := s3_hw_err || // ecc delayed error 1833 s3_ldld_rep_inst || 1834 s3_rep_frm_fetch 1835 } else { 1836 io.l2l_fwd_out.valid := false.B 1837 io.l2l_fwd_out.data := DontCare 1838 io.l2l_fwd_out.dly_ld_err := DontCare 1839 } 1840 1841 // s1 1842 io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value 1843 io.debug_ls.s1_isLoadToLoadForward := s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled 1844 io.debug_ls.s1_isTlbFirstMiss := s1_fire && s1_tlb_miss && s1_in.isFirstIssue 1845 // s2 1846 io.debug_ls.s2_robIdx := s2_in.uop.robIdx.value 1847 io.debug_ls.s2_isBankConflict := s2_fire && (!s2_kill && s2_bank_conflict) 1848 io.debug_ls.s2_isDcacheFirstMiss := s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue 1849 io.debug_ls.s2_isForwardFail := s2_fire && s2_fwd_fail 1850 // s3 1851 io.debug_ls.s3_robIdx := s3_in.uop.robIdx.value 1852 io.debug_ls.s3_isReplayFast := s3_valid && s3_fast_rep && !s3_fast_rep_canceled 1853 io.debug_ls.s3_isReplayRS := RegNext(io.feedback_fast.valid && !io.feedback_fast.bits.hit) || (io.feedback_slow.valid && !io.feedback_slow.bits.hit) 1854 io.debug_ls.s3_isReplaySlow := io.lsq.ldin.valid && io.lsq.ldin.bits.rep_info.need_rep 1855 io.debug_ls.s3_isReplay := s3_valid && s3_lrq_rep_info.need_rep // include fast+slow+rs replay 1856 io.debug_ls.replayCause := s3_lrq_rep_info.cause 1857 io.debug_ls.replayCnt := 1.U 1858 1859 // Topdown 1860 io.lsTopdownInfo.s1.robIdx := s1_in.uop.robIdx.value 1861 io.lsTopdownInfo.s1.vaddr_valid := s1_valid && s1_in.hasROBEntry 1862 io.lsTopdownInfo.s1.vaddr_bits := s1_vaddr 1863 io.lsTopdownInfo.s2.robIdx := s2_in.uop.robIdx.value 1864 io.lsTopdownInfo.s2.paddr_valid := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss 1865 io.lsTopdownInfo.s2.paddr_bits := s2_in.paddr 1866 io.lsTopdownInfo.s2.first_real_miss := io.dcache.resp.bits.real_miss 1867 io.lsTopdownInfo.s2.cache_miss_en := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated 1868 1869 // perf cnt 1870 XSPerfAccumulate("s0_in_valid", io.ldin.valid) 1871 XSPerfAccumulate("s0_in_block", io.ldin.valid && !io.ldin.fire) 1872 XSPerfAccumulate("s0_vecin_valid", io.vecldin.valid) 1873 XSPerfAccumulate("s0_vecin_block", io.vecldin.valid && !io.vecldin.fire) 1874 XSPerfAccumulate("s0_in_fire_first_issue", s0_valid && s0_sel_src.isFirstIssue) 1875 XSPerfAccumulate("s0_lsq_replay_issue", io.replay.fire) 1876 XSPerfAccumulate("s0_lsq_replay_vecissue", io.replay.fire && io.replay.bits.isvec) 1877 XSPerfAccumulate("s0_ldu_fire_first_issue", io.ldin.fire && s0_sel_src.isFirstIssue) 1878 XSPerfAccumulate("s0_fast_replay_issue", io.fast_rep_in.fire) 1879 XSPerfAccumulate("s0_fast_replay_vecissue", io.fast_rep_in.fire && io.fast_rep_in.bits.isvec) 1880 XSPerfAccumulate("s0_stall_out", s0_valid && !s0_can_go) 1881 XSPerfAccumulate("s0_stall_dcache", s0_valid && !io.dcache.req.ready) 1882 XSPerfAccumulate("s0_addr_spec_success", s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12)) 1883 XSPerfAccumulate("s0_addr_spec_failed", s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12)) 1884 XSPerfAccumulate("s0_addr_spec_success_once", s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue) 1885 XSPerfAccumulate("s0_addr_spec_failed_once", s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue) 1886 XSPerfAccumulate("s0_vec_addr_vlen_aligned", s0_fire && s0_sel_src.isvec && s0_dcache_vaddr(3, 0) === 0.U) 1887 XSPerfAccumulate("s0_vec_addr_vlen_unaligned", s0_fire && s0_sel_src.isvec && s0_dcache_vaddr(3, 0) =/= 0.U) 1888 XSPerfAccumulate("s0_forward_tl_d_channel", s0_out.forward_tlDchannel) 1889 XSPerfAccumulate("s0_hardware_prefetch_fire", s0_fire && s0_hw_prf_select) 1890 XSPerfAccumulate("s0_software_prefetch_fire", s0_fire && s0_sel_src.prf && s0_src_select_vec(int_iss_idx)) 1891 XSPerfAccumulate("s0_hardware_prefetch_blocked", io.prefetch_req.valid && !s0_hw_prf_select) 1892 XSPerfAccumulate("s0_hardware_prefetch_total", io.prefetch_req.valid) 1893 1894 XSPerfAccumulate("s3_rollback_total", io.rollback.valid) 1895 XSPerfAccumulate("s3_rep_frm_fetch_rollback", io.rollback.valid && s3_rep_frm_fetch) 1896 XSPerfAccumulate("s3_flushPipe_rollback", io.rollback.valid && s3_flushPipe) 1897 XSPerfAccumulate("s3_frm_mis_flush_rollback", io.rollback.valid && s3_frm_mis_flush) 1898 1899 XSPerfAccumulate("s1_in_valid", s1_valid) 1900 XSPerfAccumulate("s1_in_fire", s1_fire) 1901 XSPerfAccumulate("s1_in_fire_first_issue", s1_fire && s1_in.isFirstIssue) 1902 XSPerfAccumulate("s1_tlb_miss", s1_fire && s1_tlb_miss) 1903 XSPerfAccumulate("s1_tlb_miss_first_issue", s1_fire && s1_tlb_miss && s1_in.isFirstIssue) 1904 XSPerfAccumulate("s1_stall_out", s1_valid && !s1_can_go) 1905 XSPerfAccumulate("s1_dly_err", s1_valid && s1_fast_rep_dly_err) 1906 1907 XSPerfAccumulate("s2_in_valid", s2_valid) 1908 XSPerfAccumulate("s2_in_fire", s2_fire) 1909 XSPerfAccumulate("s2_in_fire_first_issue", s2_fire && s2_in.isFirstIssue) 1910 XSPerfAccumulate("s2_dcache_miss", s2_fire && io.dcache.resp.bits.miss) 1911 XSPerfAccumulate("s2_dcache_miss_first_issue", s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue) 1912 XSPerfAccumulate("s2_dcache_real_miss_first_issue", s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue) 1913 XSPerfAccumulate("s2_full_forward", s2_fire && s2_full_fwd) 1914 XSPerfAccumulate("s2_dcache_miss_full_forward", s2_fire && s2_dcache_miss) 1915 XSPerfAccumulate("s2_fwd_frm_d_can", s2_valid && s2_fwd_frm_d_chan) 1916 XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr", s2_valid && s2_fwd_frm_d_chan_or_mshr) 1917 XSPerfAccumulate("s2_stall_out", s2_fire && !s2_can_go) 1918 XSPerfAccumulate("s2_prefetch", s2_fire && s2_prf) 1919 XSPerfAccumulate("s2_prefetch_ignored", s2_fire && s2_prf && io.dcache.s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict 1920 XSPerfAccumulate("s2_prefetch_miss", s2_fire && s2_prf && io.dcache.resp.bits.miss) // prefetch req miss in l1 1921 XSPerfAccumulate("s2_prefetch_hit", s2_fire && s2_prf && !io.dcache.resp.bits.miss) // prefetch req hit in l1 1922 XSPerfAccumulate("s2_prefetch_accept", s2_fire && s2_prf && io.dcache.resp.bits.miss && !io.dcache.s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it 1923 XSPerfAccumulate("s2_forward_req", s2_fire && s2_in.forward_tlDchannel) 1924 XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid) 1925 XSPerfAccumulate("s2_successfully_forward_mshr", s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid) 1926 1927 XSPerfAccumulate("load_to_load_forward", s1_try_ptr_chasing && !s1_ptr_chasing_canceled) 1928 XSPerfAccumulate("load_to_load_forward_try", s1_try_ptr_chasing) 1929 XSPerfAccumulate("load_to_load_forward_fail", s1_cancel_ptr_chasing) 1930 XSPerfAccumulate("load_to_load_forward_fail_cancelled", s1_cancel_ptr_chasing && s1_ptr_chasing_canceled) 1931 XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match) 1932 XSPerfAccumulate("load_to_load_forward_fail_op_not_ld", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld) 1933 XSPerfAccumulate("load_to_load_forward_fail_addr_align", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned) 1934 XSPerfAccumulate("load_to_load_forward_fail_set_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch) 1935 1936 XSPerfAccumulate("nc_ld_writeback", io.ldout.valid && s3_nc_with_data) 1937 XSPerfAccumulate("nc_ld_exception", s3_valid && s3_nc_with_data && s3_in.uop.exceptionVec.reduce(_ || _)) 1938 XSPerfAccumulate("nc_ldld_vio", s3_valid && s3_nc_with_data && s3_ldld_rep_inst) 1939 XSPerfAccumulate("nc_stld_vio", s3_valid && s3_nc_with_data && s3_in.rep_info.nuke) 1940 XSPerfAccumulate("nc_ldld_vioNack", s3_valid && s3_nc_with_data && s3_in.rep_info.rar_nack) 1941 XSPerfAccumulate("nc_stld_vioNack", s3_valid && s3_nc_with_data && s3_in.rep_info.raw_nack) 1942 XSPerfAccumulate("nc_stld_fwd", s3_valid && s3_nc_with_data && RegNext(s2_full_fwd)) 1943 XSPerfAccumulate("nc_stld_fwdNotReady", s3_valid && s3_nc_with_data && RegNext(s2_mem_amb || s2_fwd_fail)) 1944 XSPerfAccumulate("nc_stld_fwdAddrMismatch", s3_valid && s3_nc_with_data && s3_vp_match_fail) 1945 1946 // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design 1947 // hardware performance counter 1948 val perfEvents = Seq( 1949 ("load_s0_in_fire ", s0_fire ), 1950 ("load_to_load_forward ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled ), 1951 ("stall_dcache ", s0_valid && s0_can_go && !io.dcache.req.ready ), 1952 ("load_s1_in_fire ", s0_fire ), 1953 ("load_s1_tlb_miss ", s1_fire && io.tlb.resp.bits.miss ), 1954 ("load_s2_in_fire ", s1_fire ), 1955 ("load_s2_dcache_miss ", s2_fire && io.dcache.resp.bits.miss ), 1956 ) 1957 generatePerfEvent() 1958 1959 if (backendParams.debugEn){ 1960 dontTouch(s0_src_valid_vec) 1961 dontTouch(s0_src_ready_vec) 1962 dontTouch(s0_src_select_vec) 1963 dontTouch(s3_ld_data_frm_pipe) 1964 s3_data_select_by_offset.map(x=> dontTouch(x)) 1965 s3_data_frm_pipe.map(x=> dontTouch(x)) 1966 s3_picked_data_frm_pipe.map(x=> dontTouch(x)) 1967 } 1968 1969 XSDebug(io.ldout.fire, "ldout %x\n", io.ldout.bits.uop.pc) 1970 // end 1971} 1972