1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import utility._ 24import xiangshan.ExceptionNO._ 25import xiangshan._ 26import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 27import xiangshan.backend.fu.PMPRespBundle 28import xiangshan.backend.fu.FuConfig._ 29import xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo} 30import xiangshan.backend.fu.NewCSR._ 31import xiangshan.backend.rob.RobPtr 32import xiangshan.backend.fu._ 33import xiangshan.backend.fu.util.SdtrigExt 34import xiangshan.cache._ 35import xiangshan.cache.wpu.ReplayCarry 36import xiangshan.cache.mmu.{TlbCmd, TlbHintReq, TlbReq, TlbRequestIO, TlbResp} 37import xiangshan.mem.mdp._ 38 39class HybridUnit(implicit p: Parameters) extends XSModule 40 with HasLoadHelper 41 with HasPerfEvents 42 with HasDCacheParameters 43 with HasCircularQueuePtrHelper 44 with HasVLSUParameters 45 with SdtrigExt 46{ 47 val io = IO(new Bundle() { 48 // control 49 val redirect = Flipped(ValidIO(new Redirect)) 50 val csrCtrl = Flipped(new CustomCSRCtrlIO) 51 52 // flow in 53 val lsin = Flipped(Decoupled(new MemExuInput)) 54 55 // flow out 56 val ldout = DecoupledIO(new MemExuOutput) 57 val stout = DecoupledIO(new MemExuOutput) 58 59 val ldu_io = new Bundle() { 60 // dcache 61 val dcache = new DCacheLoadIO 62 63 // data path 64 val sbuffer = new LoadForwardQueryIO 65 val vec_forward = new LoadForwardQueryIO 66 val lsq = new LoadToLsqIO 67 val tl_d_channel = Input(new DcacheToLduForwardIO) 68 val forward_mshr = Flipped(new LduToMissqueueForwardIO) 69 val tlb_hint = Flipped(new TlbHintReq) 70 val l2_hint = Input(Valid(new L2ToL1Hint)) 71 72 // fast wakeup 73 val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2 74 75 // trigger 76 val trigger = Vec(TriggerNum, new LoadUnitTriggerIO) 77 78 // load to load fast path 79 val l2l_fwd_in = Input(new LoadToLoadIO) 80 val l2l_fwd_out = Output(new LoadToLoadIO) 81 82 val ld_fast_match = Input(Bool()) 83 val ld_fast_fuOpType = Input(UInt()) 84 val ld_fast_imm = Input(UInt(12.W)) 85 86 // hardware prefetch to l1 cache req 87 val prefetch_req = Flipped(ValidIO(new L1PrefetchReq)) 88 89 // iq cancel 90 val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load 91 92 // iq wakeup, use to wakeup consumer uop at load s2 93 val wakeup = ValidIO(new DynInst) 94 95 // load ecc error 96 val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different 97 98 // schedule error query 99 val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryIO))) 100 101 // queue-based replay 102 val replay = Flipped(Decoupled(new LsPipelineBundle)) 103 val lq_rep_full = Input(Bool()) 104 105 // misc 106 val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch 107 108 // Load fast replay path 109 val fast_rep_in = Flipped(Decoupled(new LqWriteBundle)) 110 val fast_rep_out = Decoupled(new LqWriteBundle) 111 112 // Load RAR rollback 113 val rollback = Valid(new Redirect) 114 115 // perf 116 val debug_ls = Output(new DebugLsInfoBundle) 117 val lsTopdownInfo = Output(new LsTopdownInfo) 118 } 119 120 val stu_io = new Bundle() { 121 val dcache = new DCacheStoreIO 122 val prefetch_req = Flipped(DecoupledIO(new StorePrefetchReq)) 123 val issue = Valid(new MemExuInput) 124 val lsq = ValidIO(new LsPipelineBundle) 125 val lsq_replenish = Output(new LsPipelineBundle()) 126 val stld_nuke_query = Valid(new StoreNukeQueryIO) 127 val st_mask_out = Valid(new StoreMaskBundle) 128 val debug_ls = Output(new DebugLsInfoBundle) 129 } 130 131 val vec_stu_io = new Bundle() { 132 val in = Flipped(DecoupledIO(new VecPipeBundle())) 133 val isFirstIssue = Input(Bool()) 134 val lsq = ValidIO(new LsPipelineBundle()) 135 val feedbackSlow = ValidIO(new VSFQFeedback) 136 } 137 138 // speculative for gated control 139 val s0_prefetch_spec = Output(Bool()) 140 val s1_prefetch_spec = Output(Bool()) 141 // prefetch 142 val prefetch_train = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to sms 143 val prefetch_train_l1 = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to stream & stride 144 val canAcceptLowConfPrefetch = Output(Bool()) 145 val canAcceptHighConfPrefetch = Output(Bool()) 146 val correctMissTrain = Input(Bool()) 147 148 // data path 149 val tlb = new TlbRequestIO(2) 150 val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now 151 152 // rs feedback 153 val feedback_fast = ValidIO(new RSFeedback) // stage 2 154 val feedback_slow = ValidIO(new RSFeedback) // stage 3 155 156 // for store trigger 157 val fromCsrTrigger = Input(new CsrTriggerBundle) 158 }) 159 160 val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 161 val s1_ready, s2_ready, s3_ready, sx_can_go = WireInit(false.B) 162 163 // Pipeline 164 // -------------------------------------------------------------------------------- 165 // stage 0 166 // -------------------------------------------------------------------------------- 167 // generate addr, use addr to query DCache and DTLB 168 val s0_valid = Wire(Bool()) 169 val s0_dcache_ready = Wire(Bool()) 170 val s0_kill = Wire(Bool()) 171 val s0_vaddr = Wire(UInt(VAddrBits.W)) 172 val s0_mask = Wire(UInt((VLEN/8).W)) 173 val s0_uop = Wire(new DynInst) 174 val s0_has_rob_entry = Wire(Bool()) 175 val s0_mshrid = Wire(UInt()) 176 val s0_try_l2l = Wire(Bool()) 177 val s0_rep_carry = Wire(new ReplayCarry(nWays)) 178 val s0_isFirstIssue = Wire(Bool()) 179 val s0_fast_rep = Wire(Bool()) 180 val s0_ld_rep = Wire(Bool()) 181 val s0_l2l_fwd = Wire(Bool()) 182 val s0_sched_idx = Wire(UInt()) 183 val s0_can_go = s1_ready 184 val s0_fire = s0_valid && s0_dcache_ready && s0_can_go 185 val s0_out = Wire(new LqWriteBundle) 186 // vector 187 val s0_isvec = WireInit(false.B) 188 val s0_vecActive = WireInit(true.B) 189 // val s0_flowPtr = WireInit(0.U.asTypeOf(new VsFlowPtr)) 190 val s0_isLastElem = WireInit(false.B) 191 192 // load flow select/gen 193 // src0: super load replayed by LSQ (cache miss replay) (io.ldu_io.replay) 194 // src1: fast load replay (io.ldu_io.fast_rep_in) 195 // src2: load replayed by LSQ (io.ldu_io.replay) 196 // src3: hardware prefetch from prefetchor (high confidence) (io.prefetch) 197 // src4: int read / software prefetch first issue from RS (io.in) 198 // src5: vec read first issue from RS (TODO) 199 // src6: load try pointchaising when no issued or replayed load (io.fastpath) 200 // src7: hardware prefetch from prefetchor (high confidence) (io.prefetch) 201 // priority: high to low 202 val s0_ld_flow = FuType.isLoad(s0_uop.fuType) || FuType.isVLoad(s0_uop.fuType) 203 val s0_rep_stall = io.lsin.valid && isAfter(io.ldu_io.replay.bits.uop.robIdx, io.lsin.bits.uop.robIdx) 204 private val SRC_NUM = 8 205 private val Seq( 206 super_rep_idx, fast_rep_idx, lsq_rep_idx, high_pf_idx, 207 int_iss_idx, vec_iss_idx, l2l_fwd_idx, low_pf_idx 208 ) = (0 until SRC_NUM).toSeq 209 // load flow source valid 210 val s0_src_valid_vec = WireInit(VecInit(Seq( 211 io.ldu_io.replay.valid && io.ldu_io.replay.bits.forward_tlDchannel, 212 io.ldu_io.fast_rep_in.valid, 213 io.ldu_io.replay.valid && !io.ldu_io.replay.bits.forward_tlDchannel && !s0_rep_stall, 214 io.ldu_io.prefetch_req.valid && io.ldu_io.prefetch_req.bits.confidence > 0.U, 215 io.lsin.valid, // int flow first issue or software prefetch 216 io.vec_stu_io.in.valid, 217 io.ldu_io.l2l_fwd_in.valid && io.ldu_io.ld_fast_match, 218 io.ldu_io.prefetch_req.valid && io.ldu_io.prefetch_req.bits.confidence === 0.U, 219 ))) 220 // load flow source ready 221 val s0_src_ready_vec = Wire(Vec(SRC_NUM, Bool())) 222 s0_src_ready_vec(0) := true.B 223 for(i <- 1 until SRC_NUM){ 224 s0_src_ready_vec(i) := !s0_src_valid_vec.take(i).reduce(_ || _) 225 } 226 // load flow source select (OH) 227 val s0_src_select_vec = WireInit(VecInit((0 until SRC_NUM).map{i => s0_src_valid_vec(i) && s0_src_ready_vec(i)})) 228 val s0_hw_prf_select = s0_src_select_vec(high_pf_idx) || s0_src_select_vec(low_pf_idx) 229 230 if (backendParams.debugEn){ 231 dontTouch(s0_src_valid_vec) 232 dontTouch(s0_src_ready_vec) 233 dontTouch(s0_src_select_vec) 234 } 235 236 s0_valid := s0_src_valid_vec.reduce(_ || _) && !s0_kill 237 238 // which is S0's out is ready and dcache is ready 239 val s0_try_ptr_chasing = s0_src_select_vec(l2l_fwd_idx) 240 val s0_do_try_ptr_chasing = s0_try_ptr_chasing && s0_can_go && io.ldu_io.dcache.req.ready 241 val s0_ptr_chasing_vaddr = io.ldu_io.l2l_fwd_in.data(5, 0) +& io.ldu_io.ld_fast_imm(5, 0) 242 val s0_ptr_chasing_canceled = WireInit(false.B) 243 s0_kill := s0_ptr_chasing_canceled || (s0_out.uop.robIdx.needFlush(io.redirect) && !s0_try_ptr_chasing) 244 245 // prefetch related ctrl signal 246 val s0_prf = Wire(Bool()) 247 val s0_prf_rd = Wire(Bool()) 248 val s0_prf_wr = Wire(Bool()) 249 val s0_hw_prf = s0_hw_prf_select 250 251 io.canAcceptLowConfPrefetch := s0_src_ready_vec(low_pf_idx) && io.ldu_io.dcache.req.ready 252 io.canAcceptHighConfPrefetch := s0_src_ready_vec(high_pf_idx) && io.ldu_io.dcache.req.ready 253 254 if (StorePrefetchL1Enabled) { 255 s0_dcache_ready := Mux(s0_ld_flow, io.ldu_io.dcache.req.ready, io.stu_io.dcache.req.ready) 256 } else { 257 s0_dcache_ready := Mux(s0_ld_flow, io.ldu_io.dcache.req.ready, true.B) 258 } 259 260 // query DTLB 261 io.tlb.req.valid := s0_valid && s0_dcache_ready 262 io.tlb.req.bits.cmd := Mux(s0_prf, 263 Mux(s0_prf_wr, TlbCmd.write, TlbCmd.read), 264 Mux(s0_ld_flow, TlbCmd.read, TlbCmd.write) 265 ) 266 io.tlb.req.bits.vaddr := Mux(s0_hw_prf_select, io.ldu_io.prefetch_req.bits.paddr, s0_vaddr) 267 io.tlb.req.bits.size := Mux(s0_isvec, io.vec_stu_io.in.bits.alignedType(1, 0), LSUOpType.size(s0_uop.fuOpType)) // may broken if use it in feature 268 io.tlb.req.bits.kill := s0_kill 269 io.tlb.req.bits.memidx.is_ld := s0_ld_flow 270 io.tlb.req.bits.memidx.is_st := !s0_ld_flow 271 io.tlb.req.bits.memidx.idx := s0_uop.lqIdx.value 272 io.tlb.req.bits.debug.robIdx := s0_uop.robIdx 273 io.tlb.req.bits.no_translate := s0_hw_prf_select // hw b.reqetch addr does not need to be translated 274 io.tlb.req.bits.debug.pc := s0_uop.pc 275 io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue 276 277 // query DCache 278 // for load 279 io.ldu_io.dcache.req.valid := s0_valid && s0_dcache_ready && s0_ld_flow 280 io.ldu_io.dcache.req.bits.cmd := Mux(s0_prf_rd, MemoryOpConstants.M_PFR, 281 Mux(s0_prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD)) 282 io.ldu_io.dcache.req.bits.vaddr := s0_vaddr 283 io.ldu_io.dcache.req.bits.mask := s0_mask 284 io.ldu_io.dcache.req.bits.data := DontCare 285 io.ldu_io.dcache.req.bits.isFirstIssue := s0_isFirstIssue 286 io.ldu_io.dcache.req.bits.instrtype := Mux(s0_prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U) 287 io.ldu_io.dcache.req.bits.debug_robIdx := s0_uop.robIdx.value 288 io.ldu_io.dcache.req.bits.replayCarry := s0_rep_carry 289 io.ldu_io.dcache.req.bits.id := DontCare // TODO: update cache meta 290 io.ldu_io.dcache.pf_source := Mux(s0_hw_prf_select, io.ldu_io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL) 291 io.ldu_io.dcache.is128Req := is128Bit(io.vec_stu_io.in.bits.alignedType) && io.vec_stu_io.in.valid && s0_src_select_vec(vec_iss_idx) 292 293 // for store 294 io.stu_io.dcache.req.valid := s0_valid && s0_dcache_ready && !s0_ld_flow && !s0_prf 295 io.stu_io.dcache.req.bits.cmd := MemoryOpConstants.M_PFW 296 io.stu_io.dcache.req.bits.vaddr := s0_vaddr 297 io.stu_io.dcache.req.bits.instrtype := Mux(s0_prf, DCACHE_PREFETCH_SOURCE.U, STORE_SOURCE.U) 298 299 // load flow priority mux 300 def fromNullSource() = { 301 s0_vaddr := 0.U 302 s0_mask := 0.U 303 s0_uop := 0.U.asTypeOf(new DynInst) 304 s0_try_l2l := false.B 305 s0_has_rob_entry := false.B 306 s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 307 s0_mshrid := 0.U 308 s0_isFirstIssue := false.B 309 s0_fast_rep := false.B 310 s0_ld_rep := false.B 311 s0_l2l_fwd := false.B 312 s0_prf := false.B 313 s0_prf_rd := false.B 314 s0_prf_wr := false.B 315 s0_sched_idx := 0.U 316 } 317 318 def fromFastReplaySource(src: LqWriteBundle) = { 319 s0_vaddr := src.vaddr 320 s0_mask := src.mask 321 s0_uop := src.uop 322 s0_try_l2l := false.B 323 s0_has_rob_entry := src.hasROBEntry 324 s0_rep_carry := src.rep_info.rep_carry 325 s0_mshrid := src.rep_info.mshr_id 326 s0_isFirstIssue := false.B 327 s0_fast_rep := true.B 328 s0_ld_rep := src.isLoadReplay 329 s0_l2l_fwd := false.B 330 s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 331 s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 332 s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 333 s0_sched_idx := src.schedIndex 334 } 335 336 def fromNormalReplaySource(src: LsPipelineBundle) = { 337 s0_vaddr := src.vaddr 338 s0_mask := genVWmask(src.vaddr, src.uop.fuOpType(1, 0)) 339 s0_uop := src.uop 340 s0_try_l2l := false.B 341 s0_has_rob_entry := true.B 342 s0_rep_carry := src.replayCarry 343 s0_mshrid := src.mshrid 344 s0_isFirstIssue := false.B 345 s0_fast_rep := false.B 346 s0_ld_rep := true.B 347 s0_l2l_fwd := false.B 348 s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 349 s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 350 s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 351 s0_sched_idx := src.schedIndex 352 } 353 354 def fromPrefetchSource(src: L1PrefetchReq) = { 355 s0_vaddr := src.getVaddr() 356 s0_mask := 0.U 357 s0_uop := DontCare 358 s0_try_l2l := false.B 359 s0_has_rob_entry := false.B 360 s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 361 s0_mshrid := 0.U 362 s0_isFirstIssue := false.B 363 s0_fast_rep := false.B 364 s0_ld_rep := false.B 365 s0_l2l_fwd := false.B 366 s0_prf := true.B 367 s0_prf_rd := !src.is_store 368 s0_prf_wr := src.is_store 369 s0_sched_idx := 0.U 370 } 371 372 def fromIntIssueSource(src: MemExuInput) = { 373 s0_vaddr := src.src(0) + SignExt(src.uop.imm(11, 0), VAddrBits) 374 s0_mask := genVWmask(s0_vaddr, src.uop.fuOpType(1,0)) 375 s0_uop := src.uop 376 s0_try_l2l := false.B 377 s0_has_rob_entry := true.B 378 s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 379 s0_mshrid := 0.U 380 s0_isFirstIssue := true.B 381 s0_fast_rep := false.B 382 s0_ld_rep := false.B 383 s0_l2l_fwd := false.B 384 s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 385 s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 386 s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 387 s0_sched_idx := 0.U 388 } 389 390 def fromVecIssueSource(src: VecPipeBundle) = { 391 // For now, vector port handles only vector store flows 392 s0_vaddr := src.vaddr 393 s0_mask := src.mask 394 s0_uop := src.uop 395 s0_try_l2l := false.B 396 s0_has_rob_entry := true.B 397 s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 398 s0_mshrid := 0.U 399 // s0_isFirstIssue := src.isFirstIssue 400 s0_fast_rep := false.B 401 s0_ld_rep := false.B 402 s0_l2l_fwd := false.B 403 s0_prf := false.B 404 s0_prf_rd := false.B 405 s0_prf_wr := false.B 406 s0_sched_idx := 0.U 407 408 s0_isvec := true.B 409 s0_vecActive := io.vec_stu_io.in.bits.vecActive 410 // s0_flowPtr := io.vec_stu_io.in.bits.flowPtr 411 // s0_isLastElem := io.vec_stu_io.in.bits.isLastElem 412 } 413 414 def fromLoadToLoadSource(src: LoadToLoadIO) = { 415 s0_vaddr := Cat(src.data(XLEN-1, 6), s0_ptr_chasing_vaddr(5,0)) 416 s0_mask := genVWmask(s0_vaddr, io.ldu_io.ld_fast_fuOpType(1, 0)) 417 // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding. 418 // Assume the pointer chasing is always ld. 419 s0_uop.fuOpType := io.ldu_io.ld_fast_fuOpType 420 s0_try_l2l := true.B 421 // we dont care s0_isFirstIssue and s0_rsIdx and s0_sqIdx in S0 when trying pointchasing 422 // because these signals will be updated in S1 423 s0_has_rob_entry := false.B 424 s0_mshrid := 0.U 425 s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 426 s0_isFirstIssue := true.B 427 s0_fast_rep := false.B 428 s0_ld_rep := false.B 429 s0_l2l_fwd := true.B 430 s0_prf := false.B 431 s0_prf_rd := false.B 432 s0_prf_wr := false.B 433 s0_sched_idx := 0.U 434 } 435 436 // set default 437 s0_uop := DontCare 438 when (s0_src_select_vec(super_rep_idx)) { fromNormalReplaySource(io.ldu_io.replay.bits) } 439 .elsewhen (s0_src_select_vec(fast_rep_idx)) { fromFastReplaySource(io.ldu_io.fast_rep_in.bits) } 440 .elsewhen (s0_src_select_vec(lsq_rep_idx)) { fromNormalReplaySource(io.ldu_io.replay.bits) } 441 .elsewhen (s0_hw_prf_select) { fromPrefetchSource(io.ldu_io.prefetch_req.bits) } 442 .elsewhen (s0_src_select_vec(int_iss_idx)) { fromIntIssueSource(io.lsin.bits) } 443 .elsewhen (s0_src_select_vec(vec_iss_idx)) { fromVecIssueSource(io.vec_stu_io.in.bits) } 444 .otherwise { 445 if (EnableLoadToLoadForward) { 446 fromLoadToLoadSource(io.ldu_io.l2l_fwd_in) 447 } else { 448 fromNullSource() 449 } 450 } 451 452 // address align check 453 val s0_addr_aligned = LookupTree(Mux(s0_isvec, io.vec_stu_io.in.bits.alignedType(1,0), s0_uop.fuOpType(1, 0)), List( 454 "b00".U -> true.B, //b 455 "b01".U -> (s0_vaddr(0) === 0.U), //h 456 "b10".U -> (s0_vaddr(1, 0) === 0.U), //w 457 "b11".U -> (s0_vaddr(2, 0) === 0.U) //d 458 ))// may broken if use it in feature 459 460 // accept load flow if dcache ready (tlb is always ready) 461 // TODO: prefetch need writeback to loadQueueFlag 462 s0_out := DontCare 463 s0_out.vaddr := s0_vaddr 464 s0_out.mask := s0_mask 465 s0_out.uop := s0_uop 466 s0_out.isFirstIssue := s0_isFirstIssue 467 s0_out.hasROBEntry := s0_has_rob_entry 468 s0_out.isPrefetch := s0_prf 469 s0_out.isHWPrefetch := s0_hw_prf 470 s0_out.isFastReplay := s0_fast_rep 471 s0_out.isLoadReplay := s0_ld_rep 472 s0_out.isFastPath := s0_l2l_fwd 473 s0_out.mshrid := s0_mshrid 474 s0_out.isvec := s0_isvec 475 s0_out.isLastElem := s0_isLastElem 476 s0_out.vecActive := s0_vecActive 477 // s0_out.sflowPtr := s0_flowPtr 478 s0_out.uop.exceptionVec(loadAddrMisaligned) := !s0_addr_aligned && s0_ld_flow 479 s0_out.uop.exceptionVec(storeAddrMisaligned) := !s0_addr_aligned && !s0_ld_flow 480 s0_out.forward_tlDchannel := s0_src_select_vec(super_rep_idx) 481 when(io.tlb.req.valid && s0_isFirstIssue) { 482 s0_out.uop.debugInfo.tlbFirstReqTime := GTimer() 483 }.otherwise{ 484 s0_out.uop.debugInfo.tlbFirstReqTime := s0_uop.debugInfo.tlbFirstReqTime 485 } 486 s0_out.schedIndex := s0_sched_idx 487 488 // load fast replay 489 io.ldu_io.fast_rep_in.ready := (s0_can_go && io.ldu_io.dcache.req.ready && s0_src_ready_vec(fast_rep_idx)) 490 491 // load flow source ready 492 // cache missed load has highest priority 493 // always accept cache missed load flow from load replay queue 494 io.ldu_io.replay.ready := (s0_can_go && io.ldu_io.dcache.req.ready && (s0_src_ready_vec(lsq_rep_idx) && !s0_rep_stall || s0_src_select_vec(super_rep_idx))) 495 496 // accept load flow from rs when: 497 // 1) there is no lsq-replayed load 498 // 2) there is no fast replayed load 499 // 3) there is no high confidence prefetch request 500 io.lsin.ready := (s0_can_go && 501 Mux(FuType.isLoad(io.lsin.bits.uop.fuType), io.ldu_io.dcache.req.ready, 502 (if (StorePrefetchL1Enabled) io.stu_io.dcache.req.ready else true.B)) && s0_src_ready_vec(int_iss_idx)) 503 io.vec_stu_io.in.ready := s0_can_go && io.ldu_io.dcache.req.ready && s0_src_ready_vec(vec_iss_idx) 504 505 506 // for hw prefetch load flow feedback, to be added later 507 // io.prefetch_in.ready := s0_hw_prf_select 508 509 // dcache replacement extra info 510 // TODO: should prefetch load update replacement? 511 io.ldu_io.dcache.replacementUpdated := Mux(s0_src_select_vec(lsq_rep_idx) || s0_src_select_vec(super_rep_idx), io.ldu_io.replay.bits.replacementUpdated, false.B) 512 513 io.stu_io.prefetch_req.ready := s1_ready && io.stu_io.dcache.req.ready && !io.lsin.valid 514 515 // load debug 516 XSDebug(io.ldu_io.dcache.req.fire && s0_ld_flow, 517 p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 518 ) 519 XSDebug(s0_valid && s0_ld_flow, 520 p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lqIdx ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " + 521 p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n") 522 523 // store debug 524 XSDebug(io.stu_io.dcache.req.fire && !s0_ld_flow, 525 p"[DCACHE STORE REQ] pc ${Hexadecimal(s0_uop.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 526 ) 527 XSDebug(s0_valid && !s0_ld_flow, 528 p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, sqIdx ${Hexadecimal(s0_out.uop.sqIdx.asUInt)}, " + 529 p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n") 530 531 532 // Pipeline 533 // -------------------------------------------------------------------------------- 534 // stage 1 535 // -------------------------------------------------------------------------------- 536 // TLB resp (send paddr to dcache) 537 val s1_valid = RegInit(false.B) 538 val s1_in = Wire(new LqWriteBundle) 539 val s1_out = Wire(new LqWriteBundle) 540 val s1_kill = Wire(Bool()) 541 val s1_can_go = s2_ready 542 val s1_fire = s1_valid && !s1_kill && s1_can_go 543 val s1_ld_flow = RegNext(s0_ld_flow) 544 val s1_isvec = RegEnable(s0_out.isvec, false.B, s0_fire) 545 val s1_isLastElem = RegEnable(s0_out.isLastElem, false.B, s0_fire) 546 547 s1_ready := !s1_valid || s1_kill || s2_ready 548 when (s0_fire) { s1_valid := true.B } 549 .elsewhen (s1_fire) { s1_valid := false.B } 550 .elsewhen (s1_kill) { s1_valid := false.B } 551 s1_in := RegEnable(s0_out, s0_fire) 552 553 val s1_fast_rep_dly_err = RegNext(io.ldu_io.fast_rep_in.bits.delayedLoadError) 554 val s1_fast_rep_kill = s1_fast_rep_dly_err && s1_in.isFastReplay 555 val s1_l2l_fwd_dly_err = RegNext(io.ldu_io.l2l_fwd_in.dly_ld_err) 556 val s1_l2l_fwd_kill = s1_l2l_fwd_dly_err && s1_in.isFastPath 557 val s1_late_kill = s1_fast_rep_kill || s1_l2l_fwd_kill 558 val s1_vaddr_hi = Wire(UInt()) 559 val s1_vaddr_lo = Wire(UInt()) 560 val s1_vaddr = Wire(UInt()) 561 val s1_paddr_dup_lsu = Wire(UInt()) 562 val s1_paddr_dup_dcache = Wire(UInt()) 563 val s1_ld_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR // af & pf exception were modified below. 564 val s1_st_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, StaCfg).asUInt.orR // af & pf exception were modified below. 565 val s1_exception = (s1_ld_flow && s1_ld_exception) || (!s1_ld_flow && s1_st_exception) 566 val s1_tlb_miss = io.tlb.resp.bits.miss 567 val s1_prf = s1_in.isPrefetch 568 val s1_hw_prf = s1_in.isHWPrefetch 569 val s1_sw_prf = s1_prf && !s1_hw_prf 570 val s1_tlb_memidx = io.tlb.resp.bits.memidx 571 572 // mmio cbo decoder 573 val s1_mmio_cbo = (s1_in.uop.fuOpType === LSUOpType.cbo_clean || 574 s1_in.uop.fuOpType === LSUOpType.cbo_flush || 575 s1_in.uop.fuOpType === LSUOpType.cbo_inval) && !s1_ld_flow && !s1_prf 576 val s1_mmio = s1_mmio_cbo 577 578 s1_vaddr_hi := s1_in.vaddr(VAddrBits - 1, 6) 579 s1_vaddr_lo := s1_in.vaddr(5, 0) 580 s1_vaddr := Cat(s1_vaddr_hi, s1_vaddr_lo) 581 s1_paddr_dup_lsu := io.tlb.resp.bits.paddr(0) 582 s1_paddr_dup_dcache := io.tlb.resp.bits.paddr(1) 583 584 when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && 585 s1_tlb_memidx.idx === s1_in.uop.lqIdx.value && s1_ld_flow) { 586 // printf("Load idx = %d\n", s1_tlb_memidx.idx) 587 s1_out.uop.debugInfo.tlbRespTime := GTimer() 588 } .elsewhen(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && 589 s1_tlb_memidx.idx === s1_out.uop.sqIdx.value && !s1_ld_flow) { 590 // printf("Store idx = %d\n", s1_tlb_memidx.idx) 591 s1_out.uop.debugInfo.tlbRespTime := GTimer() 592 } 593 594 io.tlb.req_kill := s1_kill 595 io.tlb.resp.ready := true.B 596 597 io.ldu_io.dcache.s1_paddr_dup_lsu <> s1_paddr_dup_lsu 598 io.ldu_io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache 599 io.ldu_io.dcache.s1_kill := s1_kill || s1_tlb_miss || s1_exception 600 io.ldu_io.dcache.s1_kill_data_read := s1_kill || s1_tlb_miss 601 602 // store to load forwarding 603 io.ldu_io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 604 io.ldu_io.sbuffer.vaddr := s1_vaddr 605 io.ldu_io.sbuffer.paddr := s1_paddr_dup_lsu 606 io.ldu_io.sbuffer.uop := s1_in.uop 607 io.ldu_io.sbuffer.sqIdx := s1_in.uop.sqIdx 608 io.ldu_io.sbuffer.mask := s1_in.mask 609 io.ldu_io.sbuffer.pc := s1_in.uop.pc // FIXME: remove it 610 611 io.ldu_io.vec_forward.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 612 io.ldu_io.vec_forward.vaddr := s1_vaddr 613 io.ldu_io.vec_forward.paddr := s1_paddr_dup_lsu 614 io.ldu_io.vec_forward.uop := s1_in.uop 615 io.ldu_io.vec_forward.sqIdx := s1_in.uop.sqIdx 616 io.ldu_io.vec_forward.mask := s1_in.mask 617 io.ldu_io.vec_forward.pc := s1_in.uop.pc // FIXME: remove it 618 619 io.ldu_io.lsq.forward.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 620 io.ldu_io.lsq.forward.vaddr := s1_vaddr 621 io.ldu_io.lsq.forward.paddr := s1_paddr_dup_lsu 622 io.ldu_io.lsq.forward.uop := s1_in.uop 623 io.ldu_io.lsq.forward.sqIdx := s1_in.uop.sqIdx 624 io.ldu_io.lsq.forward.sqIdxMask := 0.U 625 io.ldu_io.lsq.forward.mask := s1_in.mask 626 io.ldu_io.lsq.forward.pc := s1_in.uop.pc // FIXME: remove it 627 628 // st-ld violation query 629 val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => { 630 io.ldu_io.stld_nuke_query(w).valid && // query valid 631 isAfter(s1_in.uop.robIdx, io.ldu_io.stld_nuke_query(w).bits.robIdx) && // older store 632 // TODO: Fix me when vector instruction 633 (s1_paddr_dup_lsu(PAddrBits-1, 3) === io.ldu_io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3)) && // paddr match 634 (s1_in.mask & io.ldu_io.stld_nuke_query(w).bits.mask).orR // data mask contain 635 })).asUInt.orR && !s1_tlb_miss && s1_ld_flow 636 637 s1_out := s1_in 638 s1_out.vaddr := s1_vaddr 639 s1_out.paddr := s1_paddr_dup_lsu 640 s1_out.tlbMiss := s1_tlb_miss 641 s1_out.ptwBack := io.tlb.resp.bits.ptwBack 642 s1_out.rep_info.debug := s1_in.uop.debugInfo 643 s1_out.rep_info.nuke := s1_nuke && !s1_sw_prf 644 s1_out.lateKill := s1_late_kill 645 646 // store trigger 647 val storeTrigger = Module(new MemTrigger(MemType.STORE)) 648 storeTrigger.io.fromCsrTrigger.tdataVec := io.fromCsrTrigger.tdataVec 649 storeTrigger.io.fromCsrTrigger.tEnableVec := io.fromCsrTrigger.tEnableVec 650 storeTrigger.io.fromCsrTrigger.triggerCanRaiseBpExp := io.fromCsrTrigger.triggerCanRaiseBpExp 651 storeTrigger.io.fromCsrTrigger.debugMode := io.fromCsrTrigger.debugMode 652 storeTrigger.io.fromLoadStore.vaddr := s1_vaddr 653 storeTrigger.io.fromLoadStore.isVectorUnitStride := s1_in.isvec && s1_in.is128bit 654 storeTrigger.io.fromLoadStore.mask := s1_in.mask 655 656 when (s1_ld_flow) { 657 when (!s1_late_kill) { 658 // current ori test will cause the case of ldest == 0, below will be modifeid in the future. 659 // af & pf exception were modified 660 s1_out.uop.exceptionVec(loadPageFault) := io.tlb.resp.bits.excp(0).pf.ld 661 s1_out.uop.exceptionVec(loadGuestPageFault) := io.tlb.resp.bits.excp(0).gpf.ld 662 s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld 663 } .otherwise { 664 s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B 665 s1_out.uop.exceptionVec(loadAccessFault) := s1_late_kill 666 } 667 } .otherwise { 668 s1_out.uop.exceptionVec(storePageFault) := io.tlb.resp.bits.excp(0).pf.st 669 s1_out.uop.exceptionVec(storeGuestPageFault) := io.tlb.resp.bits.excp(0).gpf.st 670 s1_out.uop.exceptionVec(storeAccessFault) := io.tlb.resp.bits.excp(0).af.st 671 s1_out.uop.trigger := storeTrigger.io.toLoadStore.triggerAction 672 s1_out.uop.exceptionVec(breakPoint) := TriggerAction.isExp(storeTrigger.io.toLoadStore.triggerAction) 673 } 674 675 // load trigger 676 val loadTrigger = Module(new MemTrigger(MemType.LOAD)) 677 loadTrigger.io.fromCsrTrigger.tdataVec := io.fromCsrTrigger.tdataVec 678 loadTrigger.io.fromCsrTrigger.tEnableVec := io.fromCsrTrigger.tEnableVec 679 loadTrigger.io.fromCsrTrigger.triggerCanRaiseBpExp := io.fromCsrTrigger.triggerCanRaiseBpExp 680 loadTrigger.io.fromCsrTrigger.debugMode := io.fromCsrTrigger.debugMode 681 loadTrigger.io.fromLoadStore.vaddr := s1_vaddr 682 loadTrigger.io.fromLoadStore.isVectorUnitStride := s1_in.isvec && s1_in.is128bit 683 loadTrigger.io.fromLoadStore.mask := s1_in.mask 684 685 when (s1_ld_flow) { 686 s1_out.uop.exceptionVec(breakPoint) := TriggerAction.isExp(loadTrigger.io.toLoadStore.triggerAction) 687 s1_out.uop.trigger := loadTrigger.io.toLoadStore.triggerAction 688 } 689 690 // pointer chasing 691 val s1_try_ptr_chasing = RegNext(s0_do_try_ptr_chasing, false.B) 692 val s1_ptr_chasing_vaddr = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing) 693 val s1_fu_op_type_not_ld = WireInit(false.B) 694 val s1_not_fast_match = WireInit(false.B) 695 val s1_addr_mismatch = WireInit(false.B) 696 val s1_addr_misaligned = WireInit(false.B) 697 val s1_ptr_chasing_canceled = WireInit(false.B) 698 val s1_cancel_ptr_chasing = WireInit(false.B) 699 700 s1_kill := s1_late_kill || 701 s1_cancel_ptr_chasing || 702 s1_in.uop.robIdx.needFlush(io.redirect) || 703 RegEnable(s0_kill, false.B, io.lsin.valid || io.ldu_io.replay.valid || io.ldu_io.l2l_fwd_in.valid || io.ldu_io.fast_rep_in.valid || io.vec_stu_io.in.valid) 704 705 if (EnableLoadToLoadForward) { 706 // Sometimes, we need to cancel the load-load forwarding. 707 // These can be put at S0 if timing is bad at S1. 708 // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow) 709 s1_addr_mismatch := s1_ptr_chasing_vaddr(6) || RegEnable(io.ldu_io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing) 710 // Case 1: the address is misaligned, kill s1 711 s1_addr_misaligned := LookupTree(s1_in.uop.fuOpType(1, 0), List( 712 "b00".U -> false.B, //b 713 "b01".U -> (s1_vaddr(0) =/= 0.U), //h 714 "b10".U -> (s1_vaddr(1, 0) =/= 0.U), //w 715 "b11".U -> (s1_vaddr(2, 0) =/= 0.U) //d 716 )) 717 // Case 2: this load-load uop is cancelled 718 s1_ptr_chasing_canceled := !io.lsin.valid || FuType.isStore(io.lsin.bits.uop.fuType) 719 720 when (s1_try_ptr_chasing) { 721 s1_cancel_ptr_chasing := s1_addr_mismatch || s1_addr_misaligned || s1_ptr_chasing_canceled 722 723 s1_in.uop := io.lsin.bits.uop 724 s1_in.isFirstIssue := io.lsin.bits.isFirstIssue 725 s1_vaddr_lo := s1_ptr_chasing_vaddr(5, 0) 726 s1_paddr_dup_lsu := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 727 s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 728 729 // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb) 730 s1_in.uop.debugInfo.tlbFirstReqTime := GTimer() 731 s1_in.uop.debugInfo.tlbRespTime := GTimer() 732 } 733 when (!s1_cancel_ptr_chasing) { 734 s0_ptr_chasing_canceled := s1_try_ptr_chasing && !io.ldu_io.replay.fire && !io.ldu_io.fast_rep_in.fire && !(s0_src_valid_vec(high_pf_idx) && io.canAcceptHighConfPrefetch) 735 when (s1_try_ptr_chasing) { 736 io.lsin.ready := true.B 737 } 738 } 739 } 740 741 // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding 742 val s1_sqIdx_mask = RegNext(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize)) 743 // to enable load-load, sqIdxMask must be calculated based on lsin.uop 744 // If the timing here is not OK, load-load forwarding has to be disabled. 745 // Or we calculate sqIdxMask at RS?? 746 io.ldu_io.lsq.forward.sqIdxMask := s1_sqIdx_mask 747 if (EnableLoadToLoadForward) { 748 when (s1_try_ptr_chasing) { 749 io.ldu_io.lsq.forward.sqIdxMask := UIntToMask(io.lsin.bits.uop.sqIdx.value, StoreQueueSize) 750 } 751 } 752 753 io.ldu_io.forward_mshr.valid := s1_valid && s1_out.forward_tlDchannel && s1_ld_flow 754 io.ldu_io.forward_mshr.mshrid := s1_out.mshrid 755 io.ldu_io.forward_mshr.paddr := s1_out.paddr 756 757 io.ldu_io.wakeup.valid := s0_fire && s0_ld_flow && (s0_src_select_vec(super_rep_idx) || s0_src_select_vec(fast_rep_idx) || s0_src_select_vec(lsq_rep_idx) || s0_src_select_vec(int_iss_idx)) 758 io.ldu_io.wakeup.bits := s0_uop 759 760 io.stu_io.dcache.s1_kill := s1_tlb_miss || s1_exception || s1_mmio || s1_in.uop.robIdx.needFlush(io.redirect) 761 io.stu_io.dcache.s1_paddr := s1_paddr_dup_dcache 762 763 764 // load debug 765 XSDebug(s1_valid && s1_ld_flow, 766 p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 767 p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n") 768 769 // store debug 770 XSDebug(s1_valid && !s1_ld_flow, 771 p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.sqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 772 p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n") 773 774 // store out 775 io.stu_io.lsq.valid := s1_valid && !s1_ld_flow && !s1_prf && !s1_isvec 776 io.stu_io.lsq.bits := s1_out 777 io.stu_io.lsq.bits.miss := s1_tlb_miss 778 779 io.vec_stu_io.lsq.valid := s1_valid && !s1_ld_flow && !s1_prf && s1_isvec 780 io.vec_stu_io.lsq.bits := s1_out 781 io.vec_stu_io.lsq.bits.miss := s1_tlb_miss 782 io.vec_stu_io.lsq.bits.isLastElem := s1_isLastElem 783 784 io.stu_io.st_mask_out.valid := s1_valid && !s1_ld_flow && !s1_prf 785 io.stu_io.st_mask_out.bits.mask := s1_out.mask 786 io.stu_io.st_mask_out.bits.sqIdx := s1_out.uop.sqIdx 787 788 io.stu_io.issue.valid := s1_valid && !s1_tlb_miss && !s1_ld_flow && !s1_prf && !s1_isvec 789 io.stu_io.issue.bits := RegEnable(io.lsin.bits, io.lsin.fire) 790 791 // st-ld violation dectect request 792 io.stu_io.stld_nuke_query.valid := s1_valid && !s1_tlb_miss && !s1_ld_flow && !s1_prf 793 io.stu_io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx 794 io.stu_io.stld_nuke_query.bits.paddr := s1_paddr_dup_lsu 795 io.stu_io.stld_nuke_query.bits.mask := s1_in.mask 796 797 // Pipeline 798 // -------------------------------------------------------------------------------- 799 // stage 2 800 // -------------------------------------------------------------------------------- 801 // s2: DCache resp 802 val s2_valid = RegInit(false.B) 803 val s2_in = Wire(new LqWriteBundle) 804 val s2_out = Wire(new LqWriteBundle) 805 val s2_kill = Wire(Bool()) 806 val s2_can_go = s3_ready 807 val s2_fire = s2_valid && !s2_kill && s2_can_go 808 val s2_isvec = RegEnable(s1_isvec, false.B, s1_fire) 809 val s2_vecActive = RegEnable(s1_out.vecActive, true.B, s1_fire) 810 val s2_paddr = RegEnable(s1_paddr_dup_lsu, s1_fire) 811 812 s2_kill := s2_in.uop.robIdx.needFlush(io.redirect) 813 s2_ready := !s2_valid || s2_kill || s3_ready 814 when (s1_fire) { s2_valid := true.B } 815 .elsewhen (s2_fire) { s2_valid := false.B } 816 .elsewhen (s2_kill) { s2_valid := false.B } 817 s2_in := RegEnable(s1_out, s1_fire) 818 819 val s2_pmp = WireInit(io.pmp) 820 821 val s2_prf = s2_in.isPrefetch 822 val s2_hw_prf = s2_in.isHWPrefetch 823 val s2_ld_flow = RegEnable(s1_ld_flow, s1_fire) 824 825 // exception that may cause load addr to be invalid / illegal 826 // if such exception happen, that inst and its exception info 827 // will be force writebacked to rob 828 val s2_exception_vec = WireInit(s2_in.uop.exceptionVec) 829 when (s2_ld_flow) { 830 when (!s2_in.lateKill) { 831 s2_exception_vec(loadAccessFault) := (s2_in.uop.exceptionVec(loadAccessFault) || s2_pmp.ld) && s2_vecActive 832 // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered) 833 when (s2_prf || s2_in.tlbMiss) { 834 s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 835 } 836 } 837 } .otherwise { 838 s2_exception_vec(storeAccessFault) := s2_in.uop.exceptionVec(storeAccessFault) || s2_pmp.st 839 when (s2_prf || s2_in.tlbMiss) { 840 s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 841 } 842 } 843 val s2_ld_exception = ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR && s2_ld_flow 844 val s2_st_exception = ExceptionNO.selectByFu(s2_exception_vec, StaCfg).asUInt.orR && !s2_ld_flow 845 val s2_exception = s2_ld_exception || s2_st_exception 846 847 val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan) = io.ldu_io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr) 848 val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr) = io.ldu_io.forward_mshr.forward() 849 val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr) 850 851 // writeback access fault caused by ecc error / bus error 852 // * ecc data error is slow to generate, so we will not use it until load stage 3 853 // * in load stage 3, an extra signal io.load_error will be used to 854 val s2_actually_mmio = s2_pmp.mmio 855 val s2_ld_mmio = !s2_prf && 856 s2_actually_mmio && 857 !s2_exception && 858 !s2_in.tlbMiss && 859 s2_ld_flow 860 val s2_st_mmio = !s2_prf && 861 (RegNext(s1_mmio) || s2_pmp.mmio) && 862 !s2_exception && 863 !s2_in.tlbMiss && 864 !s2_ld_flow 865 val s2_st_atomic = !s2_prf && 866 (RegNext(s1_mmio) || s2_pmp.atomic) && 867 !s2_exception && 868 !s2_in.tlbMiss && 869 !s2_ld_flow 870 val s2_full_fwd = Wire(Bool()) 871 val s2_mem_amb = s2_in.uop.storeSetHit && 872 io.ldu_io.lsq.forward.addrInvalid 873 874 val s2_tlb_miss = s2_in.tlbMiss 875 val s2_fwd_fail = io.ldu_io.lsq.forward.dataInvalid || io.ldu_io.vec_forward.dataInvalid 876 val s2_dcache_miss = io.ldu_io.dcache.resp.bits.miss && 877 !s2_fwd_frm_d_chan_or_mshr && 878 !s2_full_fwd 879 880 val s2_mq_nack = io.ldu_io.dcache.s2_mq_nack && 881 !s2_fwd_frm_d_chan_or_mshr && 882 !s2_full_fwd 883 884 val s2_bank_conflict = io.ldu_io.dcache.s2_bank_conflict && 885 !s2_fwd_frm_d_chan_or_mshr && 886 !s2_full_fwd 887 888 val s2_wpu_pred_fail = io.ldu_io.dcache.s2_wpu_pred_fail && 889 !s2_fwd_frm_d_chan_or_mshr && 890 !s2_full_fwd 891 892 val s2_rar_nack = io.ldu_io.lsq.ldld_nuke_query.req.valid && 893 !io.ldu_io.lsq.ldld_nuke_query.req.ready 894 895 val s2_raw_nack = io.ldu_io.lsq.stld_nuke_query.req.valid && 896 !io.ldu_io.lsq.stld_nuke_query.req.ready 897 898 // st-ld violation query 899 // NeedFastRecovery Valid when 900 // 1. Fast recovery query request Valid. 901 // 2. Load instruction is younger than requestors(store instructions). 902 // 3. Physical address match. 903 // 4. Data contains. 904 val s2_nuke = VecInit((0 until StorePipelineWidth).map(w => { 905 io.ldu_io.stld_nuke_query(w).valid && // query valid 906 isAfter(s2_in.uop.robIdx, io.ldu_io.stld_nuke_query(w).bits.robIdx) && // older store 907 // TODO: Fix me when vector instruction 908 (s2_in.paddr(PAddrBits-1, 3) === io.ldu_io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3)) && // paddr match 909 (s2_in.mask & io.ldu_io.stld_nuke_query(w).bits.mask).orR // data mask contain 910 })).asUInt.orR && s2_ld_flow || s2_in.rep_info.nuke 911 912 val s2_cache_handled = io.ldu_io.dcache.resp.bits.handled 913 val s2_cache_tag_error = RegNext(io.csrCtrl.cache_error_enable) && 914 io.ldu_io.dcache.resp.bits.tag_error 915 916 val s2_troublem = !s2_exception && 917 !s2_ld_mmio && 918 !s2_prf && 919 !s2_in.lateKill && 920 s2_ld_flow 921 922 io.ldu_io.dcache.resp.ready := true.B 923 io.stu_io.dcache.resp.ready := true.B 924 val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_ld_mmio || s2_prf || s2_in.lateKill) && s2_ld_flow 925 assert(!(s2_valid && (s2_dcache_should_resp && !io.ldu_io.dcache.resp.valid)), "DCache response got lost") 926 927 // fast replay require 928 val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail)) 929 val s2_nuke_fast_rep = !s2_mq_nack && 930 !s2_dcache_miss && 931 !s2_bank_conflict && 932 !s2_wpu_pred_fail && 933 !s2_rar_nack && 934 !s2_raw_nack && 935 s2_nuke 936 937 val s2_fast_rep = !s2_mem_amb && 938 !s2_tlb_miss && 939 !s2_fwd_fail && 940 (s2_dcache_fast_rep || s2_nuke_fast_rep) && 941 s2_troublem 942 943 // need allocate new entry 944 val s2_can_query = !s2_mem_amb && 945 !s2_tlb_miss && 946 !s2_fwd_fail && 947 !s2_dcache_fast_rep && 948 s2_troublem 949 950 val s2_data_fwded = s2_dcache_miss && (s2_full_fwd || s2_cache_tag_error) 951 952 // ld-ld violation require 953 io.ldu_io.lsq.ldld_nuke_query.req.valid := s2_valid && s2_can_query 954 io.ldu_io.lsq.ldld_nuke_query.req.bits.uop := s2_in.uop 955 io.ldu_io.lsq.ldld_nuke_query.req.bits.mask := s2_in.mask 956 io.ldu_io.lsq.ldld_nuke_query.req.bits.paddr := s2_in.paddr 957 io.ldu_io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss) 958 959 // st-ld violation require 960 io.ldu_io.lsq.stld_nuke_query.req.valid := s2_valid && s2_can_query 961 io.ldu_io.lsq.stld_nuke_query.req.bits.uop := s2_in.uop 962 io.ldu_io.lsq.stld_nuke_query.req.bits.mask := s2_in.mask 963 io.ldu_io.lsq.stld_nuke_query.req.bits.paddr := s2_in.paddr 964 io.ldu_io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss) 965 966 // merge forward result 967 // lsq has higher priority than sbuffer 968 val s2_fwd_mask = Wire(Vec((VLEN/8), Bool())) 969 val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W))) 970 s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.ldu_io.lsq.forward.dataInvalid && !io.ldu_io.vec_forward.dataInvalid 971 // generate XLEN/8 Muxs 972 for (i <- 0 until VLEN / 8) { 973 s2_fwd_mask(i) := io.ldu_io.lsq.forward.forwardMask(i) || io.ldu_io.sbuffer.forwardMask(i) || io.ldu_io.vec_forward.forwardMask(i) 974 s2_fwd_data(i) := Mux( 975 io.ldu_io.lsq.forward.forwardMask(i), 976 io.ldu_io.lsq.forward.forwardData(i), 977 Mux( 978 io.ldu_io.vec_forward.forwardMask(i), 979 io.ldu_io.vec_forward.forwardData(i), 980 io.ldu_io.sbuffer.forwardData(i) 981 ) 982 ) 983 } 984 985 XSDebug(s2_fire && s2_ld_flow, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 986 s2_in.uop.pc, 987 io.ldu_io.lsq.forward.forwardData.asUInt, io.ldu_io.lsq.forward.forwardMask.asUInt, 988 s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt 989 ) 990 991 // 992 s2_out := s2_in 993 s2_out.data := 0.U // data will be generated in load s3 994 s2_out.uop.fpWen := s2_in.uop.fpWen && !s2_exception && s2_ld_flow 995 s2_out.mmio := s2_ld_mmio || s2_st_mmio 996 s2_out.atomic := s2_st_atomic 997 s2_out.uop.flushPipe := false.B 998 s2_out.uop.exceptionVec := s2_exception_vec 999 s2_out.forwardMask := s2_fwd_mask 1000 s2_out.forwardData := s2_fwd_data 1001 s2_out.handledByMSHR := s2_cache_handled 1002 s2_out.miss := s2_dcache_miss && s2_troublem 1003 s2_out.feedbacked := io.feedback_fast.valid && !io.feedback_fast.bits.hit 1004 1005 // Generate replay signal caused by: 1006 // * st-ld violation check 1007 // * tlb miss 1008 // * dcache replay 1009 // * forward data invalid 1010 // * dcache miss 1011 s2_out.rep_info.mem_amb := s2_mem_amb && s2_troublem 1012 s2_out.rep_info.tlb_miss := s2_tlb_miss && s2_troublem 1013 s2_out.rep_info.fwd_fail := s2_fwd_fail && s2_troublem 1014 s2_out.rep_info.dcache_rep := s2_mq_nack && s2_troublem 1015 s2_out.rep_info.dcache_miss := s2_dcache_miss && s2_troublem 1016 s2_out.rep_info.bank_conflict := s2_bank_conflict && s2_troublem 1017 s2_out.rep_info.wpu_fail := s2_wpu_pred_fail && s2_troublem 1018 s2_out.rep_info.rar_nack := s2_rar_nack && s2_troublem 1019 s2_out.rep_info.raw_nack := s2_raw_nack && s2_troublem 1020 s2_out.rep_info.nuke := s2_nuke && s2_troublem 1021 s2_out.rep_info.full_fwd := s2_data_fwded 1022 s2_out.rep_info.data_inv_sq_idx := Mux(io.ldu_io.vec_forward.dataInvalid, s2_out.uop.sqIdx, io.ldu_io.lsq.forward.dataInvalidSqIdx) 1023 s2_out.rep_info.addr_inv_sq_idx := Mux(io.ldu_io.vec_forward.addrInvalid, s2_out.uop.sqIdx, io.ldu_io.lsq.forward.addrInvalidSqIdx) 1024 s2_out.rep_info.rep_carry := io.ldu_io.dcache.resp.bits.replayCarry 1025 s2_out.rep_info.mshr_id := io.ldu_io.dcache.resp.bits.mshr_id 1026 s2_out.rep_info.last_beat := s2_in.paddr(log2Up(refillBytes)) 1027 s2_out.rep_info.debug := s2_in.uop.debugInfo 1028 s2_out.rep_info.tlb_id := io.ldu_io.tlb_hint.id 1029 s2_out.rep_info.tlb_full := io.ldu_io.tlb_hint.full 1030 1031 // if forward fail, replay this inst from fetch 1032 val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss 1033 // if ld-ld violation is detected, replay from this inst from fetch 1034 val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_ld_mmio && !s2_is_prefetch && !s2_in.tlbMiss 1035 // io.out.bits.uop.replayInst := false.B 1036 1037 // to be removed 1038 val s2_ld_need_fb = !s2_in.isLoadReplay && // already feedbacked 1039 io.ldu_io.lq_rep_full && // LoadQueueReplay is full 1040 s2_out.rep_info.need_rep && // need replay 1041 !s2_exception && // no exception is triggered 1042 !s2_hw_prf && // not hardware prefetch 1043 !s2_isvec 1044 val s2_st_need_fb = !s2_ld_flow && !s2_hw_prf && !s2_isvec 1045 io.feedback_fast.valid := s2_valid && (s2_ld_need_fb || s2_st_need_fb) 1046 io.feedback_fast.bits.hit := Mux(s2_ld_flow, false.B, !s2_tlb_miss) 1047 io.feedback_fast.bits.flushState := s2_in.ptwBack 1048 io.feedback_fast.bits.robIdx := s2_in.uop.robIdx 1049 io.feedback_fast.bits.sourceType := Mux(s2_ld_flow, RSFeedbackType.lrqFull, RSFeedbackType.tlbMiss) 1050 io.feedback_fast.bits.dataInvalidSqIdx := DontCare 1051 1052 val s2_vec_feedback = Wire(Valid(new VSFQFeedback)) 1053 s2_vec_feedback.valid := s2_valid && !s2_ld_flow && !s2_hw_prf && s2_isvec 1054 // s2_vec_feedback.bits.flowPtr := s2_out.sflowPtr 1055 s2_vec_feedback.bits.hit := !s2_tlb_miss 1056 s2_vec_feedback.bits.sourceType := RSFeedbackType.tlbMiss 1057 s2_vec_feedback.bits.paddr := s2_paddr 1058 s2_vec_feedback.bits.mmio := s2_st_mmio 1059 s2_vec_feedback.bits.atomic := s2_st_mmio 1060 s2_vec_feedback.bits.exceptionVec := s2_exception_vec 1061 1062 io.stu_io.lsq_replenish := s2_out 1063 io.stu_io.lsq_replenish.miss := io.ldu_io.dcache.resp.fire && io.ldu_io.dcache.resp.bits.miss 1064 1065 io.ldu_io.ldCancel.ld1Cancel := false.B 1066 1067 // fast wakeup 1068 io.ldu_io.fast_uop.valid := RegNext( 1069 !io.ldu_io.dcache.s1_disable_fast_wakeup && 1070 s1_valid && 1071 !s1_kill && 1072 !io.tlb.resp.bits.miss && 1073 !io.ldu_io.lsq.forward.dataInvalidFast 1074 ) && (s2_valid && !s2_out.rep_info.need_rep && !s2_ld_mmio && s2_ld_flow) && !s2_isvec 1075 io.ldu_io.fast_uop.bits := RegNext(s1_out.uop) 1076 1077 // 1078 io.ldu_io.s2_ptr_chasing := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire) 1079 1080 // prefetch train 1081 io.s0_prefetch_spec := s0_fire 1082 io.s1_prefetch_spec := s1_fire 1083 io.prefetch_train.valid := s2_valid && !s2_actually_mmio && !s2_in.tlbMiss 1084 io.prefetch_train.bits.fromLsPipelineBundle(s2_in) 1085 io.prefetch_train.bits.miss := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.miss, io.stu_io.dcache.resp.bits.miss) // TODO: use trace with bank conflict? 1086 io.prefetch_train.bits.meta_prefetch := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.meta_prefetch, false.B) 1087 io.prefetch_train.bits.meta_access := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.meta_access, false.B) 1088 1089 io.prefetch_train_l1.valid := s2_valid && !s2_actually_mmio && s2_ld_flow 1090 io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in) 1091 io.prefetch_train_l1.bits.miss := io.ldu_io.dcache.resp.bits.miss 1092 io.prefetch_train_l1.bits.meta_prefetch := io.ldu_io.dcache.resp.bits.meta_prefetch 1093 io.prefetch_train_l1.bits.meta_access := io.ldu_io.dcache.resp.bits.meta_access 1094 if (env.FPGAPlatform){ 1095 io.ldu_io.dcache.s0_pc := DontCare 1096 io.ldu_io.dcache.s1_pc := DontCare 1097 io.ldu_io.dcache.s2_pc := DontCare 1098 }else{ 1099 io.ldu_io.dcache.s0_pc := s0_out.uop.pc 1100 io.ldu_io.dcache.s1_pc := s1_out.uop.pc 1101 io.ldu_io.dcache.s2_pc := s2_out.uop.pc 1102 } 1103 io.ldu_io.dcache.s2_kill := s2_pmp.ld || s2_actually_mmio || s2_kill 1104 io.stu_io.dcache.s2_kill := s2_pmp.st || s2_actually_mmio || s2_kill 1105 io.stu_io.dcache.s2_pc := s2_out.uop.pc 1106 1107 val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready && s1_ld_flow 1108 val s2_ld_valid_dup = RegInit(0.U(6.W)) 1109 s2_ld_valid_dup := 0x0.U(6.W) 1110 when (s1_ld_left_fire && !s1_out.isHWPrefetch && s1_ld_flow) { s2_ld_valid_dup := 0x3f.U(6.W) } 1111 when (s1_kill || s1_out.isHWPrefetch || !s1_ld_flow) { s2_ld_valid_dup := 0x0.U(6.W) } 1112 assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch) || RegNext(!s1_ld_flow))) 1113 1114 // Pipeline 1115 // -------------------------------------------------------------------------------- 1116 // stage 3 1117 // -------------------------------------------------------------------------------- 1118 // writeback and update load queue 1119 val s3_valid = RegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect)) 1120 val s3_in = RegEnable(s2_out, s2_fire) 1121 val s3_out = Wire(Valid(new MemExuOutput)) 1122 val s3_dcache_rep = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire) 1123 val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire) 1124 val s3_fast_rep = Wire(Bool()) 1125 val s3_ld_flow = RegNext(s2_ld_flow) 1126 val s3_troublem = RegNext(s2_troublem) 1127 val s3_kill = s3_in.uop.robIdx.needFlush(io.redirect) 1128 val s3_isvec = RegNext(s2_isvec) 1129 s3_ready := !s3_valid || s3_kill || sx_can_go 1130 1131 // s3 load fast replay 1132 io.ldu_io.fast_rep_out.valid := s3_valid && 1133 s3_fast_rep && 1134 !s3_in.uop.robIdx.needFlush(io.redirect) && 1135 s3_ld_flow && 1136 !s3_isvec 1137 io.ldu_io.fast_rep_out.bits := s3_in 1138 1139 io.ldu_io.lsq.ldin.valid := s3_valid && 1140 (!s3_fast_rep || !io.ldu_io.fast_rep_out.ready) && 1141 !s3_in.feedbacked && 1142 !s3_in.lateKill && 1143 s3_ld_flow 1144 io.ldu_io.lsq.ldin.bits := s3_in 1145 io.ldu_io.lsq.ldin.bits.miss := s3_in.miss 1146 1147 /* <------- DANGEROUS: Don't change sequence here ! -------> */ 1148 io.ldu_io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools 1149 io.ldu_io.lsq.ldin.bits.replacementUpdated := io.ldu_io.dcache.resp.bits.replacementUpdated 1150 io.ldu_io.lsq.ldin.bits.missDbUpdated := RegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated) 1151 1152 val s3_dly_ld_err = 1153 if (EnableAccurateLoadError) { 1154 (s3_in.lateKill || io.ldu_io.dcache.resp.bits.error_delayed) && RegNext(io.csrCtrl.cache_error_enable) 1155 } else { 1156 WireInit(false.B) 1157 } 1158 io.ldu_io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid 1159 io.ldu_io.fast_rep_out.bits.delayedLoadError := s3_dly_ld_err 1160 io.ldu_io.lsq.ldin.bits.dcacheRequireReplay := s3_dcache_rep 1161 1162 val s3_vp_match_fail = RegNext(io.ldu_io.lsq.forward.matchInvalid || io.ldu_io.sbuffer.matchInvalid) && s3_troublem 1163 val s3_ldld_rep_inst = 1164 io.ldu_io.lsq.ldld_nuke_query.resp.valid && 1165 io.ldu_io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch && 1166 RegNext(io.csrCtrl.ldld_vio_check_enable) 1167 1168 val s3_rep_info = WireInit(s3_in.rep_info) 1169 s3_rep_info.dcache_miss := s3_in.rep_info.dcache_miss && s3_troublem 1170 val s3_rep_frm_fetch = s3_vp_match_fail 1171 val s3_flushPipe = s3_ldld_rep_inst 1172 val s3_sel_rep_cause = PriorityEncoderOH(s3_rep_info.cause.asUInt) 1173 val s3_force_rep = s3_sel_rep_cause(LoadReplayCauses.C_TM) && 1174 !s3_in.uop.exceptionVec(loadAddrMisaligned) && 1175 s3_troublem 1176 1177 val s3_ld_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, LduCfg).asUInt.orR && s3_ld_flow 1178 val s3_st_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, StaCfg).asUInt.orR && !s3_ld_flow 1179 val s3_exception = s3_ld_exception || s3_st_exception 1180 when ((s3_ld_exception || s3_dly_ld_err || s3_rep_frm_fetch) && !s3_force_rep) { 1181 io.ldu_io.lsq.ldin.bits.rep_info.cause := 0.U.asTypeOf(s3_rep_info.cause.cloneType) 1182 } .otherwise { 1183 io.ldu_io.lsq.ldin.bits.rep_info.cause := VecInit(s3_sel_rep_cause.asBools) 1184 } 1185 1186 // Int flow, if hit, will be writebacked at s3 1187 s3_out.valid := s3_valid && 1188 (!s3_ld_flow && !s3_in.feedbacked || !io.ldu_io.lsq.ldin.bits.rep_info.need_rep) && !s3_in.mmio 1189 s3_out.bits.uop := s3_in.uop 1190 s3_out.bits.uop.exceptionVec(loadAccessFault) := (s3_dly_ld_err || s3_in.uop.exceptionVec(loadAccessFault)) && s3_ld_flow 1191 s3_out.bits.uop.replayInst := s3_rep_frm_fetch 1192 s3_out.bits.data := s3_in.data 1193 s3_out.bits.debug.isMMIO := s3_in.mmio 1194 s3_out.bits.debug.isPerfCnt := false.B 1195 s3_out.bits.debug.paddr := s3_in.paddr 1196 s3_out.bits.debug.vaddr := s3_in.vaddr 1197 1198 when (s3_force_rep) { 1199 s3_out.bits.uop.exceptionVec := 0.U.asTypeOf(s3_in.uop.exceptionVec.cloneType) 1200 } 1201 1202 io.ldu_io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe) && !s3_exception && s3_ld_flow 1203 io.ldu_io.rollback.bits := DontCare 1204 io.ldu_io.rollback.bits.isRVC := s3_out.bits.uop.preDecodeInfo.isRVC 1205 io.ldu_io.rollback.bits.robIdx := s3_out.bits.uop.robIdx 1206 io.ldu_io.rollback.bits.ftqIdx := s3_out.bits.uop.ftqPtr 1207 io.ldu_io.rollback.bits.ftqOffset := s3_out.bits.uop.ftqOffset 1208 io.ldu_io.rollback.bits.level := Mux(s3_rep_frm_fetch, RedirectLevel.flush, RedirectLevel.flushAfter) 1209 io.ldu_io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc 1210 io.ldu_io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id 1211 /* <------- DANGEROUS: Don't change sequence here ! -------> */ 1212 io.ldu_io.lsq.ldin.bits.uop := s3_out.bits.uop 1213 1214 val s3_revoke = s3_exception || io.ldu_io.lsq.ldin.bits.rep_info.need_rep 1215 io.ldu_io.lsq.ldld_nuke_query.revoke := s3_revoke 1216 io.ldu_io.lsq.stld_nuke_query.revoke := s3_revoke 1217 1218 // feedback slow 1219 s3_fast_rep := RegNext(s2_fast_rep) && 1220 !s3_in.feedbacked && 1221 !s3_in.lateKill && 1222 !s3_rep_frm_fetch && 1223 !s3_exception 1224 1225 val s3_fb_no_waiting = !s3_in.isLoadReplay && !(s3_fast_rep && io.ldu_io.fast_rep_out.ready) && !s3_in.feedbacked 1226 1227 // 1228 io.feedback_slow.valid := s3_valid && !s3_in.uop.robIdx.needFlush(io.redirect) && s3_fb_no_waiting && s3_ld_flow 1229 io.feedback_slow.bits.hit := !io.ldu_io.lsq.ldin.bits.rep_info.need_rep || io.ldu_io.lsq.ldin.ready 1230 io.feedback_slow.bits.flushState := s3_in.ptwBack 1231 io.feedback_slow.bits.robIdx := s3_in.uop.robIdx 1232 io.feedback_slow.bits.sourceType := RSFeedbackType.lrqFull 1233 io.feedback_slow.bits.dataInvalidSqIdx := DontCare 1234 1235 io.vec_stu_io.feedbackSlow.valid := RegNext(s2_vec_feedback.valid && !s2_out.uop.robIdx.needFlush(io.redirect)) 1236 io.vec_stu_io.feedbackSlow.bits := RegNext(s2_vec_feedback.bits) 1237 1238 io.ldu_io.ldCancel.ld2Cancel := s3_valid && s3_ld_flow && ( // is load 1239 io.ldu_io.lsq.ldin.bits.rep_info.need_rep || s3_in.mmio // exe fail or is mmio 1240 ) 1241 1242 // data from dcache hit 1243 val s3_ld_raw_data_frm_cache = Wire(new LoadDataFromDcacheBundle) 1244 s3_ld_raw_data_frm_cache.respDcacheData := io.ldu_io.dcache.resp.bits.data 1245 s3_ld_raw_data_frm_cache.forward_D := s2_fwd_frm_d_chan 1246 s3_ld_raw_data_frm_cache.forwardData_D := s2_fwd_data_frm_d_chan 1247 s3_ld_raw_data_frm_cache.forward_mshr := s2_fwd_frm_mshr 1248 s3_ld_raw_data_frm_cache.forwardData_mshr := s2_fwd_data_frm_mshr 1249 s3_ld_raw_data_frm_cache.forward_result_valid := s2_fwd_data_valid 1250 1251 s3_ld_raw_data_frm_cache.forwardMask := RegEnable(s2_fwd_mask, s2_valid) 1252 s3_ld_raw_data_frm_cache.forwardData := RegEnable(s2_fwd_data, s2_valid) 1253 s3_ld_raw_data_frm_cache.uop := RegEnable(s2_out.uop, s2_valid) 1254 s3_ld_raw_data_frm_cache.addrOffset := RegEnable(s2_out.paddr(3, 0), s2_valid) 1255 1256 val s3_merged_data_frm_tlD = RegEnable(s3_ld_raw_data_frm_cache.mergeTLData(), s2_valid) 1257 val s3_merged_data_frm_cache = s3_ld_raw_data_frm_cache.mergeLsqFwdData(s3_merged_data_frm_tlD) 1258 val s3_picked_data_frm_cache = LookupTree(s3_ld_raw_data_frm_cache.addrOffset, List( 1259 "b0000".U -> s3_merged_data_frm_cache(63, 0), 1260 "b0001".U -> s3_merged_data_frm_cache(63, 8), 1261 "b0010".U -> s3_merged_data_frm_cache(63, 16), 1262 "b0011".U -> s3_merged_data_frm_cache(63, 24), 1263 "b0100".U -> s3_merged_data_frm_cache(63, 32), 1264 "b0101".U -> s3_merged_data_frm_cache(63, 40), 1265 "b0110".U -> s3_merged_data_frm_cache(63, 48), 1266 "b0111".U -> s3_merged_data_frm_cache(63, 56), 1267 "b1000".U -> s3_merged_data_frm_cache(127, 64), 1268 "b1001".U -> s3_merged_data_frm_cache(127, 72), 1269 "b1010".U -> s3_merged_data_frm_cache(127, 80), 1270 "b1011".U -> s3_merged_data_frm_cache(127, 88), 1271 "b1100".U -> s3_merged_data_frm_cache(127, 96), 1272 "b1101".U -> s3_merged_data_frm_cache(127, 104), 1273 "b1110".U -> s3_merged_data_frm_cache(127, 112), 1274 "b1111".U -> s3_merged_data_frm_cache(127, 120) 1275 )) 1276 val s3_ld_data_frm_cache = rdataHelper(s3_ld_raw_data_frm_cache.uop, s3_picked_data_frm_cache) 1277 1278 // FIXME: add 1 cycle delay ? 1279 io.ldout.bits := s3_out.bits 1280 io.ldout.bits.data := s3_ld_data_frm_cache 1281 io.ldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_ld_flow && !s3_isvec 1282 1283 // for uncache 1284 io.ldu_io.lsq.uncache.ready := true.B 1285 1286 // fast load to load forward 1287 if (EnableLoadToLoadForward) { 1288 io.ldu_io.l2l_fwd_out.valid := s3_out.valid && !s3_in.lateKill && s3_ld_flow 1289 io.ldu_io.l2l_fwd_out.data := s3_ld_data_frm_cache 1290 io.ldu_io.l2l_fwd_out.dly_ld_err := s3_dly_ld_err // ecc delayed error 1291 } else { 1292 io.ldu_io.l2l_fwd_out.valid := false.B 1293 io.ldu_io.l2l_fwd_out.data := DontCare 1294 io.ldu_io.l2l_fwd_out.dly_ld_err := DontCare 1295 } 1296 1297 // hybrid unit writeback to rob 1298 // delay params 1299 val SelectGroupSize = RollbackGroupSize 1300 val lgSelectGroupSize = log2Ceil(SelectGroupSize) 1301 val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1 1302 val TotalDelayCycles = TotalSelectCycles - 2 1303 1304 // writeback 1305 val sx_valid = Wire(Vec(TotalDelayCycles + 1, Bool())) 1306 val sx_ready = Wire(Vec(TotalDelayCycles + 1, Bool())) 1307 val sx_in = Wire(Vec(TotalDelayCycles + 1, new MemExuOutput)) 1308 1309 sx_can_go := sx_ready.head 1310 for (i <- 0 until TotalDelayCycles + 1) { 1311 if (i == 0) { 1312 sx_valid(i) := s3_valid && 1313 !s3_ld_flow && 1314 !s3_in.feedbacked && 1315 !s3_in.mmio 1316 sx_in(i) := s3_out.bits 1317 sx_ready(i) := !s3_valid(i) || sx_in(i).uop.robIdx.needFlush(io.redirect) || (if (TotalDelayCycles == 0) io.stout.ready else sx_ready(i+1)) 1318 } else { 1319 val cur_kill = sx_in(i).uop.robIdx.needFlush(io.redirect) 1320 val cur_can_go = (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1)) 1321 val cur_fire = sx_valid(i) && !cur_kill && cur_can_go 1322 val prev_fire = sx_valid(i-1) && !sx_in(i-1).uop.robIdx.needFlush(io.redirect) && sx_ready(i) 1323 1324 sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1)) 1325 val sx_valid_can_go = prev_fire || cur_fire || cur_kill 1326 sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), sx_valid_can_go) 1327 sx_in(i) := RegEnable(sx_in(i-1), prev_fire) 1328 } 1329 } 1330 1331 val sx_last_valid = sx_valid.takeRight(1).head 1332 val sx_last_ready = sx_ready.takeRight(1).head 1333 val sx_last_in = sx_in.takeRight(1).head 1334 1335 sx_last_ready := !sx_last_valid || sx_last_in.uop.robIdx.needFlush(io.redirect) || io.stout.ready 1336 io.stout.valid := sx_last_valid && !sx_last_in.uop.robIdx.needFlush(io.redirect) && FuType.isStore(sx_last_in.uop.fuType) 1337 io.stout.bits := sx_last_in 1338 1339 // FIXME: please move this part to LoadQueueReplay 1340 io.ldu_io.debug_ls := DontCare 1341 io.stu_io.debug_ls := DontCare 1342 io.stu_io.debug_ls.s1_isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch && !s1_ld_flow 1343 io.stu_io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value 1344 1345 // Topdown 1346 io.ldu_io.lsTopdownInfo.s1.robIdx := s1_in.uop.robIdx.value 1347 io.ldu_io.lsTopdownInfo.s1.vaddr_valid := s1_valid && s1_in.hasROBEntry 1348 io.ldu_io.lsTopdownInfo.s1.vaddr_bits := s1_vaddr 1349 io.ldu_io.lsTopdownInfo.s2.robIdx := s2_in.uop.robIdx.value 1350 io.ldu_io.lsTopdownInfo.s2.paddr_valid := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss 1351 io.ldu_io.lsTopdownInfo.s2.paddr_bits := s2_in.paddr 1352 io.ldu_io.lsTopdownInfo.s2.first_real_miss := io.ldu_io.dcache.resp.bits.real_miss 1353 io.ldu_io.lsTopdownInfo.s2.cache_miss_en := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated 1354 1355 // perf cnt 1356 XSPerfAccumulate("s0_in_valid", io.lsin.valid) 1357 XSPerfAccumulate("s0_in_block", io.lsin.valid && !io.lsin.fire) 1358 XSPerfAccumulate("s0_in_fire_first_issue", s0_valid && s0_isFirstIssue) 1359 XSPerfAccumulate("s0_lsq_fire_first_issue", io.ldu_io.replay.fire) 1360 XSPerfAccumulate("s0_ldu_fire_first_issue", io.lsin.fire && s0_isFirstIssue) 1361 XSPerfAccumulate("s0_fast_replay_issue", io.ldu_io.fast_rep_in.fire) 1362 XSPerfAccumulate("s0_stall_out", s0_valid && !s0_can_go) 1363 XSPerfAccumulate("s0_stall_ld_dcache", s0_valid && !io.ldu_io.dcache.req.ready) 1364 XSPerfAccumulate("s0_stall_st_dcache", s0_valid && !io.stu_io.dcache.req.ready) 1365 XSPerfAccumulate("s0_addr_spec_success", s0_fire && s0_vaddr(VAddrBits-1, 12) === io.lsin.bits.src(0)(VAddrBits-1, 12)) 1366 XSPerfAccumulate("s0_addr_spec_failed", s0_fire && s0_vaddr(VAddrBits-1, 12) =/= io.lsin.bits.src(0)(VAddrBits-1, 12)) 1367 XSPerfAccumulate("s0_addr_spec_success_once", s0_fire && s0_vaddr(VAddrBits-1, 12) === io.lsin.bits.src(0)(VAddrBits-1, 12) && s0_isFirstIssue) 1368 XSPerfAccumulate("s0_addr_spec_failed_once", s0_fire && s0_vaddr(VAddrBits-1, 12) =/= io.lsin.bits.src(0)(VAddrBits-1, 12) && s0_isFirstIssue) 1369 XSPerfAccumulate("s0_forward_tl_d_channel", s0_out.forward_tlDchannel) 1370 XSPerfAccumulate("s0_hardware_prefetch_fire", s0_fire && s0_hw_prf_select) 1371 XSPerfAccumulate("s0_software_prefetch_fire", s0_fire && s0_prf && s0_src_select_vec(int_iss_idx)) 1372 XSPerfAccumulate("s0_hardware_prefetch_blocked", io.ldu_io.prefetch_req.valid && !s0_hw_prf_select) 1373 XSPerfAccumulate("s0_hardware_prefetch_total", io.ldu_io.prefetch_req.valid) 1374 1375 XSPerfAccumulate("s1_in_valid", s1_valid) 1376 XSPerfAccumulate("s1_in_fire", s1_fire) 1377 XSPerfAccumulate("s1_in_fire_first_issue", s1_fire && s1_in.isFirstIssue) 1378 XSPerfAccumulate("s1_tlb_miss", s1_fire && s1_tlb_miss) 1379 XSPerfAccumulate("s1_tlb_miss_first_issue", s1_fire && s1_tlb_miss && s1_in.isFirstIssue) 1380 XSPerfAccumulate("s1_stall_out", s1_valid && !s1_can_go) 1381 XSPerfAccumulate("s1_late_kill", s1_valid && s1_fast_rep_kill) 1382 1383 XSPerfAccumulate("s2_in_valid", s2_valid) 1384 XSPerfAccumulate("s2_in_fire", s2_fire) 1385 XSPerfAccumulate("s2_in_fire_first_issue", s2_fire && s2_in.isFirstIssue) 1386 XSPerfAccumulate("s2_dcache_miss", s2_fire && io.ldu_io.dcache.resp.bits.miss) 1387 XSPerfAccumulate("s2_dcache_miss_first_issue", s2_fire && io.ldu_io.dcache.resp.bits.miss && s2_in.isFirstIssue) 1388 XSPerfAccumulate("s2_dcache_real_miss_first_issue", s2_fire && io.ldu_io.dcache.resp.bits.miss && s2_in.isFirstIssue) 1389 XSPerfAccumulate("s2_full_forward", s2_fire && s2_full_fwd) 1390 XSPerfAccumulate("s2_dcache_miss_full_forward", s2_fire && s2_dcache_miss) 1391 XSPerfAccumulate("s2_fwd_frm_d_can", s2_valid && s2_fwd_frm_d_chan) 1392 XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr", s2_valid && s2_fwd_frm_d_chan_or_mshr) 1393 XSPerfAccumulate("s2_stall_out", s2_fire && !s2_can_go) 1394 XSPerfAccumulate("s2_prefetch", s2_fire && s2_prf) 1395 XSPerfAccumulate("s2_prefetch_ignored", s2_fire && s2_prf && io.ldu_io.dcache.s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict 1396 XSPerfAccumulate("s2_prefetch_miss", s2_fire && s2_prf && io.ldu_io.dcache.resp.bits.miss) // prefetch req miss in l1 1397 XSPerfAccumulate("s2_prefetch_hit", s2_fire && s2_prf && !io.ldu_io.dcache.resp.bits.miss) // prefetch req hit in l1 1398 XSPerfAccumulate("s2_prefetch_accept", s2_fire && s2_prf && io.ldu_io.dcache.resp.bits.miss && !io.ldu_io.dcache.s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it 1399 XSPerfAccumulate("s2_forward_req", s2_fire && s2_in.forward_tlDchannel) 1400 XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid) 1401 XSPerfAccumulate("s2_successfully_forward_mshr", s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid) 1402 1403 XSPerfAccumulate("load_to_load_forward", s1_try_ptr_chasing && !s1_ptr_chasing_canceled) 1404 XSPerfAccumulate("load_to_load_forward_try", s1_try_ptr_chasing) 1405 XSPerfAccumulate("load_to_load_forward_fail", s1_cancel_ptr_chasing) 1406 XSPerfAccumulate("load_to_load_forward_fail_cancelled", s1_cancel_ptr_chasing && s1_ptr_chasing_canceled) 1407 XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match) 1408 XSPerfAccumulate("load_to_load_forward_fail_op_not_ld", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld) 1409 XSPerfAccumulate("load_to_load_forward_fail_addr_align", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned) 1410 XSPerfAccumulate("load_to_load_forward_fail_set_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch) 1411 1412 // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design 1413 // hardware performance counter 1414 val perfEvents = Seq( 1415 ("load_s0_in_fire ", s0_fire ), 1416 ("load_to_load_forward ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled ), 1417 ("stall_dcache ", s0_valid && s0_can_go && !io.ldu_io.dcache.req.ready ), 1418 ("load_s1_in_fire ", s0_fire ), 1419 ("load_s1_tlb_miss ", s1_fire && io.tlb.resp.bits.miss ), 1420 ("load_s2_in_fire ", s1_fire ), 1421 ("load_s2_dcache_miss ", s2_fire && io.ldu_io.dcache.resp.bits.miss ), 1422 ) 1423 generatePerfEvent() 1424}