18f1fa9b1Ssfencevma/*************************************************************************************** 28f1fa9b1Ssfencevma* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 38f1fa9b1Ssfencevma* Copyright (c) 2020-2021 Peng Cheng Laboratory 48f1fa9b1Ssfencevma* 58f1fa9b1Ssfencevma* XiangShan is licensed under Mulan PSL v2. 68f1fa9b1Ssfencevma* You can use this software according to the terms and conditions of the Mulan PSL v2. 78f1fa9b1Ssfencevma* You may obtain a copy of Mulan PSL v2 at: 88f1fa9b1Ssfencevma* http://license.coscl.org.cn/MulanPSL2 98f1fa9b1Ssfencevma* 108f1fa9b1Ssfencevma* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 118f1fa9b1Ssfencevma* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 128f1fa9b1Ssfencevma* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 138f1fa9b1Ssfencevma* 148f1fa9b1Ssfencevma* See the Mulan PSL v2 for more details. 158f1fa9b1Ssfencevma***************************************************************************************/ 168f1fa9b1Ssfencevma 178f1fa9b1Ssfencevmapackage xiangshan.mem 188f1fa9b1Ssfencevma 198f1fa9b1Ssfencevmaimport org.chipsalliance.cde.config.Parameters 208f1fa9b1Ssfencevmaimport chisel3._ 218f1fa9b1Ssfencevmaimport chisel3.util._ 228f1fa9b1Ssfencevmaimport utils._ 238f1fa9b1Ssfencevmaimport utility._ 248f1fa9b1Ssfencevmaimport xiangshan.ExceptionNO._ 258f1fa9b1Ssfencevmaimport xiangshan._ 268f1fa9b1Ssfencevmaimport xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 278f1fa9b1Ssfencevmaimport xiangshan.backend.fu.PMPRespBundle 288f1fa9b1Ssfencevmaimport xiangshan.backend.fu.FuConfig._ 298f1fa9b1Ssfencevmaimport xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo} 308f1fa9b1Ssfencevmaimport xiangshan.backend.rob.RobPtr 318f1fa9b1Ssfencevmaimport xiangshan.backend.fu._ 32f7af4c74Schengguanghuiimport xiangshan.backend.fu.util.SdtrigExt 338f1fa9b1Ssfencevmaimport xiangshan.cache._ 348f1fa9b1Ssfencevmaimport xiangshan.cache.wpu.ReplayCarry 35b52baf04SXuan Huimport xiangshan.cache.mmu.{TlbCmd, TlbHintReq, TlbReq, TlbRequestIO, TlbResp} 368f1fa9b1Ssfencevmaimport xiangshan.mem.mdp._ 378f1fa9b1Ssfencevma 388f1fa9b1Ssfencevmaclass HybridUnit(implicit p: Parameters) extends XSModule 398f1fa9b1Ssfencevma with HasLoadHelper 408f1fa9b1Ssfencevma with HasPerfEvents 418f1fa9b1Ssfencevma with HasDCacheParameters 428f1fa9b1Ssfencevma with HasCircularQueuePtrHelper 436e39fcc5Szhanglinjuan with HasVLSUParameters 44f7af4c74Schengguanghui with SdtrigExt 458f1fa9b1Ssfencevma{ 468f1fa9b1Ssfencevma val io = IO(new Bundle() { 478f1fa9b1Ssfencevma // control 488f1fa9b1Ssfencevma val redirect = Flipped(ValidIO(new Redirect)) 498f1fa9b1Ssfencevma val csrCtrl = Flipped(new CustomCSRCtrlIO) 508f1fa9b1Ssfencevma 518f1fa9b1Ssfencevma // flow in 528f1fa9b1Ssfencevma val lsin = Flipped(Decoupled(new MemExuInput)) 538f1fa9b1Ssfencevma 548f1fa9b1Ssfencevma // flow out 55c1254d7eSsfencevma val ldout = DecoupledIO(new MemExuOutput) 56c1254d7eSsfencevma val stout = DecoupledIO(new MemExuOutput) 578f1fa9b1Ssfencevma 588f1fa9b1Ssfencevma val ldu_io = new Bundle() { 5946ba64e8Ssfencevma // dcache 6046ba64e8Ssfencevma val dcache = new DCacheLoadIO 6146ba64e8Ssfencevma 628f1fa9b1Ssfencevma // data path 638f1fa9b1Ssfencevma val sbuffer = new LoadForwardQueryIO 646e39fcc5Szhanglinjuan val vec_forward = new LoadForwardQueryIO 658f1fa9b1Ssfencevma val lsq = new LoadToLsqIO 668f1fa9b1Ssfencevma val tl_d_channel = Input(new DcacheToLduForwardIO) 678f1fa9b1Ssfencevma val forward_mshr = Flipped(new LduToMissqueueForwardIO) 688f1fa9b1Ssfencevma val refill = Flipped(ValidIO(new Refill)) 69b52baf04SXuan Hu val tlb_hint = Flipped(new TlbHintReq) 708f1fa9b1Ssfencevma val l2_hint = Input(Valid(new L2ToL1Hint)) 718f1fa9b1Ssfencevma 728f1fa9b1Ssfencevma // fast wakeup 738f1fa9b1Ssfencevma val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2 748f1fa9b1Ssfencevma 758f1fa9b1Ssfencevma // trigger 76f7af4c74Schengguanghui val trigger = Vec(TriggerNum, new LoadUnitTriggerIO) 778f1fa9b1Ssfencevma 788f1fa9b1Ssfencevma // load to load fast path 798f1fa9b1Ssfencevma val l2l_fwd_in = Input(new LoadToLoadIO) 808f1fa9b1Ssfencevma val l2l_fwd_out = Output(new LoadToLoadIO) 818f1fa9b1Ssfencevma 828f1fa9b1Ssfencevma val ld_fast_match = Input(Bool()) 838f1fa9b1Ssfencevma val ld_fast_fuOpType = Input(UInt()) 848f1fa9b1Ssfencevma val ld_fast_imm = Input(UInt(12.W)) 858f1fa9b1Ssfencevma 86d7739d95Ssfencevma // hardware prefetch to l1 cache req 87d7739d95Ssfencevma val prefetch_req = Flipped(ValidIO(new L1PrefetchReq)) 88d7739d95Ssfencevma 898f1fa9b1Ssfencevma // iq cancel 908f1fa9b1Ssfencevma val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load 918f1fa9b1Ssfencevma 928f1fa9b1Ssfencevma // load ecc error 938f1fa9b1Ssfencevma val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different 948f1fa9b1Ssfencevma 958f1fa9b1Ssfencevma // schedule error query 968f1fa9b1Ssfencevma val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryIO))) 978f1fa9b1Ssfencevma 988f1fa9b1Ssfencevma // queue-based replay 998f1fa9b1Ssfencevma val replay = Flipped(Decoupled(new LsPipelineBundle)) 1008f1fa9b1Ssfencevma val lq_rep_full = Input(Bool()) 1018f1fa9b1Ssfencevma 1028f1fa9b1Ssfencevma // misc 1038f1fa9b1Ssfencevma val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch 1048f1fa9b1Ssfencevma 1058f1fa9b1Ssfencevma // Load fast replay path 1068f1fa9b1Ssfencevma val fast_rep_in = Flipped(Decoupled(new LqWriteBundle)) 1078f1fa9b1Ssfencevma val fast_rep_out = Decoupled(new LqWriteBundle) 1088f1fa9b1Ssfencevma 109c8a344d0Ssfencevma // Load RAR rollback 110c8a344d0Ssfencevma val rollback = Valid(new Redirect) 111c8a344d0Ssfencevma 1128f1fa9b1Ssfencevma // perf 1138f1fa9b1Ssfencevma val debug_ls = Output(new DebugLsInfoBundle) 1148f1fa9b1Ssfencevma val lsTopdownInfo = Output(new LsTopdownInfo) 1158f1fa9b1Ssfencevma } 1168f1fa9b1Ssfencevma 1178f1fa9b1Ssfencevma val stu_io = new Bundle() { 11846ba64e8Ssfencevma val dcache = new DCacheStoreIO 119d7739d95Ssfencevma val prefetch_req = Flipped(DecoupledIO(new StorePrefetchReq)) 1208f1fa9b1Ssfencevma val issue = Valid(new MemExuInput) 1218f1fa9b1Ssfencevma val lsq = ValidIO(new LsPipelineBundle) 1228f1fa9b1Ssfencevma val lsq_replenish = Output(new LsPipelineBundle()) 1238f1fa9b1Ssfencevma val stld_nuke_query = Valid(new StoreNukeQueryIO) 1248f1fa9b1Ssfencevma val st_mask_out = Valid(new StoreMaskBundle) 1258f1fa9b1Ssfencevma val debug_ls = Output(new DebugLsInfoBundle) 1268f1fa9b1Ssfencevma } 1278f1fa9b1Ssfencevma 1286e39fcc5Szhanglinjuan val vec_stu_io = new Bundle() { 1296e39fcc5Szhanglinjuan val in = Flipped(DecoupledIO(new VecStorePipeBundle())) 1306e39fcc5Szhanglinjuan val isFirstIssue = Input(Bool()) 1316e39fcc5Szhanglinjuan val lsq = ValidIO(new LsPipelineBundle()) 1326e39fcc5Szhanglinjuan val feedbackSlow = ValidIO(new VSFQFeedback) 1336e39fcc5Szhanglinjuan } 1346e39fcc5Szhanglinjuan 1356810d1e8Ssfencevma // prefetch 1366810d1e8Ssfencevma val prefetch_train = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to sms 1376810d1e8Ssfencevma val prefetch_train_l1 = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to stream & stride 1386810d1e8Ssfencevma val canAcceptLowConfPrefetch = Output(Bool()) 1396810d1e8Ssfencevma val canAcceptHighConfPrefetch = Output(Bool()) 1406810d1e8Ssfencevma val correctMissTrain = Input(Bool()) 1416810d1e8Ssfencevma 1428f1fa9b1Ssfencevma // data path 1438f1fa9b1Ssfencevma val tlb = new TlbRequestIO(2) 1448f1fa9b1Ssfencevma val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now 14546ba64e8Ssfencevma 1468f1fa9b1Ssfencevma // rs feedback 1478f1fa9b1Ssfencevma val feedback_fast = ValidIO(new RSFeedback) // stage 2 1488f1fa9b1Ssfencevma val feedback_slow = ValidIO(new RSFeedback) // stage 3 1498f1fa9b1Ssfencevma }) 1508f1fa9b1Ssfencevma 15146ba64e8Ssfencevma val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 1528f1fa9b1Ssfencevma val s1_ready, s2_ready, s3_ready, sx_can_go = WireInit(false.B) 1538f1fa9b1Ssfencevma 1548f1fa9b1Ssfencevma // Pipeline 1558f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 1568f1fa9b1Ssfencevma // stage 0 1578f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 1588f1fa9b1Ssfencevma // generate addr, use addr to query DCache and DTLB 1598f1fa9b1Ssfencevma val s0_valid = Wire(Bool()) 16046ba64e8Ssfencevma val s0_dcache_ready = Wire(Bool()) 1618f1fa9b1Ssfencevma val s0_kill = Wire(Bool()) 1628f1fa9b1Ssfencevma val s0_vaddr = Wire(UInt(VAddrBits.W)) 1638f1fa9b1Ssfencevma val s0_mask = Wire(UInt((VLEN/8).W)) 1648f1fa9b1Ssfencevma val s0_uop = Wire(new DynInst) 1658f1fa9b1Ssfencevma val s0_has_rob_entry = Wire(Bool()) 1668f1fa9b1Ssfencevma val s0_rsIdx = Wire(UInt(log2Up(MemIQSizeMax).W)) 1678f1fa9b1Ssfencevma val s0_mshrid = Wire(UInt()) 1688f1fa9b1Ssfencevma val s0_try_l2l = Wire(Bool()) 1698f1fa9b1Ssfencevma val s0_rep_carry = Wire(new ReplayCarry(nWays)) 1708f1fa9b1Ssfencevma val s0_isFirstIssue = Wire(Bool()) 1718f1fa9b1Ssfencevma val s0_fast_rep = Wire(Bool()) 1728f1fa9b1Ssfencevma val s0_ld_rep = Wire(Bool()) 1738f1fa9b1Ssfencevma val s0_l2l_fwd = Wire(Bool()) 1748f1fa9b1Ssfencevma val s0_sched_idx = Wire(UInt()) 175aa9d03e8SHaojin Tang val s0_deqPortIdx = Wire(UInt(log2Ceil(LoadPipelineWidth).W)) 1768f1fa9b1Ssfencevma val s0_can_go = s1_ready 17746ba64e8Ssfencevma val s0_fire = s0_valid && s0_dcache_ready && s0_can_go 1788f1fa9b1Ssfencevma val s0_out = Wire(new LqWriteBundle) 1796e39fcc5Szhanglinjuan // vector 1806e39fcc5Szhanglinjuan val s0_isvec = WireInit(false.B) 1816e39fcc5Szhanglinjuan val s0_exp = WireInit(true.B) 1826e39fcc5Szhanglinjuan val s0_flowPtr = WireInit(0.U.asTypeOf(new VsFlowPtr)) 18324c8b0e1Sweiding liu val s0_isLastElem = WireInit(false.B) 1848f1fa9b1Ssfencevma 1858f1fa9b1Ssfencevma // load flow select/gen 1868f1fa9b1Ssfencevma // src0: super load replayed by LSQ (cache miss replay) (io.ldu_io.replay) 1878f1fa9b1Ssfencevma // src1: fast load replay (io.ldu_io.fast_rep_in) 1888f1fa9b1Ssfencevma // src2: load replayed by LSQ (io.ldu_io.replay) 1898f1fa9b1Ssfencevma // src3: hardware prefetch from prefetchor (high confidence) (io.prefetch) 1908f1fa9b1Ssfencevma // src4: int read / software prefetch first issue from RS (io.in) 1918f1fa9b1Ssfencevma // src5: vec read first issue from RS (TODO) 1928f1fa9b1Ssfencevma // src6: load try pointchaising when no issued or replayed load (io.fastpath) 1938f1fa9b1Ssfencevma // src7: hardware prefetch from prefetchor (high confidence) (io.prefetch) 1948f1fa9b1Ssfencevma // priority: high to low 1956e39fcc5Szhanglinjuan val s0_ld_flow = FuType.isLoad(s0_uop.fuType) || FuType.isVLoad(s0_uop.fuType) 1968f1fa9b1Ssfencevma val s0_rep_stall = io.lsin.valid && isAfter(io.ldu_io.replay.bits.uop.robIdx, io.lsin.bits.uop.robIdx) 1978f1fa9b1Ssfencevma val s0_super_ld_rep_valid = io.ldu_io.replay.valid && io.ldu_io.replay.bits.forward_tlDchannel 1988f1fa9b1Ssfencevma val s0_ld_fast_rep_valid = io.ldu_io.fast_rep_in.valid 1998f1fa9b1Ssfencevma val s0_ld_rep_valid = io.ldu_io.replay.valid && !io.ldu_io.replay.bits.forward_tlDchannel && !s0_rep_stall 200d7739d95Ssfencevma val s0_high_conf_prf_valid = io.ldu_io.prefetch_req.valid && io.ldu_io.prefetch_req.bits.confidence > 0.U 2018f1fa9b1Ssfencevma val s0_int_iss_valid = io.lsin.valid // int flow first issue or software prefetch 2026e39fcc5Szhanglinjuan val s0_vec_iss_valid = io.vec_stu_io.in.valid 2038f1fa9b1Ssfencevma val s0_l2l_fwd_valid = io.ldu_io.l2l_fwd_in.valid && io.ldu_io.ld_fast_match 204d7739d95Ssfencevma val s0_low_conf_prf_valid = io.ldu_io.prefetch_req.valid && io.ldu_io.prefetch_req.bits.confidence === 0.U 2058f1fa9b1Ssfencevma dontTouch(s0_super_ld_rep_valid) 2068f1fa9b1Ssfencevma dontTouch(s0_ld_fast_rep_valid) 2078f1fa9b1Ssfencevma dontTouch(s0_ld_rep_valid) 2088f1fa9b1Ssfencevma dontTouch(s0_high_conf_prf_valid) 2098f1fa9b1Ssfencevma dontTouch(s0_int_iss_valid) 2108f1fa9b1Ssfencevma dontTouch(s0_vec_iss_valid) 2118f1fa9b1Ssfencevma dontTouch(s0_l2l_fwd_valid) 2128f1fa9b1Ssfencevma dontTouch(s0_low_conf_prf_valid) 2138f1fa9b1Ssfencevma 2148f1fa9b1Ssfencevma // load flow source ready 2158f1fa9b1Ssfencevma val s0_super_ld_rep_ready = WireInit(true.B) 2168f1fa9b1Ssfencevma val s0_ld_fast_rep_ready = !s0_super_ld_rep_valid 2178f1fa9b1Ssfencevma val s0_ld_rep_ready = !s0_super_ld_rep_valid && 2188f1fa9b1Ssfencevma !s0_ld_fast_rep_valid 2198f1fa9b1Ssfencevma val s0_high_conf_prf_ready = !s0_super_ld_rep_valid && 2208f1fa9b1Ssfencevma !s0_ld_fast_rep_valid && 2218f1fa9b1Ssfencevma !s0_ld_rep_valid 2228f1fa9b1Ssfencevma 2238f1fa9b1Ssfencevma val s0_int_iss_ready = !s0_super_ld_rep_valid && 2248f1fa9b1Ssfencevma !s0_ld_fast_rep_valid && 2258f1fa9b1Ssfencevma !s0_ld_rep_valid && 2268f1fa9b1Ssfencevma !s0_high_conf_prf_valid 2278f1fa9b1Ssfencevma 2288f1fa9b1Ssfencevma val s0_vec_iss_ready = !s0_super_ld_rep_valid && 2298f1fa9b1Ssfencevma !s0_ld_fast_rep_valid && 2308f1fa9b1Ssfencevma !s0_ld_rep_valid && 2318f1fa9b1Ssfencevma !s0_high_conf_prf_valid && 2328f1fa9b1Ssfencevma !s0_int_iss_valid 2338f1fa9b1Ssfencevma 2348f1fa9b1Ssfencevma val s0_l2l_fwd_ready = !s0_super_ld_rep_valid && 2358f1fa9b1Ssfencevma !s0_ld_fast_rep_valid && 2368f1fa9b1Ssfencevma !s0_ld_rep_valid && 2378f1fa9b1Ssfencevma !s0_high_conf_prf_valid && 2388f1fa9b1Ssfencevma !s0_int_iss_valid && 2398f1fa9b1Ssfencevma !s0_vec_iss_valid 2408f1fa9b1Ssfencevma 2418f1fa9b1Ssfencevma val s0_low_conf_prf_ready = !s0_super_ld_rep_valid && 2428f1fa9b1Ssfencevma !s0_ld_fast_rep_valid && 2438f1fa9b1Ssfencevma !s0_ld_rep_valid && 2448f1fa9b1Ssfencevma !s0_high_conf_prf_valid && 2458f1fa9b1Ssfencevma !s0_int_iss_valid && 2468f1fa9b1Ssfencevma !s0_vec_iss_valid && 2478f1fa9b1Ssfencevma !s0_l2l_fwd_valid 2488f1fa9b1Ssfencevma dontTouch(s0_super_ld_rep_ready) 2498f1fa9b1Ssfencevma dontTouch(s0_ld_fast_rep_ready) 2508f1fa9b1Ssfencevma dontTouch(s0_ld_rep_ready) 2518f1fa9b1Ssfencevma dontTouch(s0_high_conf_prf_ready) 2528f1fa9b1Ssfencevma dontTouch(s0_int_iss_ready) 2538f1fa9b1Ssfencevma dontTouch(s0_vec_iss_ready) 2548f1fa9b1Ssfencevma dontTouch(s0_l2l_fwd_ready) 2558f1fa9b1Ssfencevma dontTouch(s0_low_conf_prf_ready) 2568f1fa9b1Ssfencevma 2578f1fa9b1Ssfencevma // load flow source select (OH) 2588f1fa9b1Ssfencevma val s0_super_ld_rep_select = s0_super_ld_rep_valid && s0_super_ld_rep_ready 2598f1fa9b1Ssfencevma val s0_ld_fast_rep_select = s0_ld_fast_rep_valid && s0_ld_fast_rep_ready 2608f1fa9b1Ssfencevma val s0_ld_rep_select = s0_ld_rep_valid && s0_ld_rep_ready 2618f1fa9b1Ssfencevma val s0_hw_prf_select = s0_high_conf_prf_ready && s0_high_conf_prf_valid || 2628f1fa9b1Ssfencevma s0_low_conf_prf_ready && s0_low_conf_prf_valid 2638f1fa9b1Ssfencevma val s0_int_iss_select = s0_int_iss_ready && s0_int_iss_valid 2648f1fa9b1Ssfencevma val s0_vec_iss_select = s0_vec_iss_ready && s0_vec_iss_valid 2658f1fa9b1Ssfencevma val s0_l2l_fwd_select = s0_l2l_fwd_ready && s0_l2l_fwd_valid 2668f1fa9b1Ssfencevma dontTouch(s0_super_ld_rep_select) 2678f1fa9b1Ssfencevma dontTouch(s0_ld_fast_rep_select) 2688f1fa9b1Ssfencevma dontTouch(s0_ld_rep_select) 2698f1fa9b1Ssfencevma dontTouch(s0_hw_prf_select) 2708f1fa9b1Ssfencevma dontTouch(s0_int_iss_select) 2718f1fa9b1Ssfencevma dontTouch(s0_vec_iss_select) 2728f1fa9b1Ssfencevma dontTouch(s0_l2l_fwd_select) 2738f1fa9b1Ssfencevma 2748f1fa9b1Ssfencevma s0_valid := (s0_super_ld_rep_valid || 2758f1fa9b1Ssfencevma s0_ld_fast_rep_valid || 2768f1fa9b1Ssfencevma s0_ld_rep_valid || 2778f1fa9b1Ssfencevma s0_high_conf_prf_valid || 2788f1fa9b1Ssfencevma s0_int_iss_valid || 2798f1fa9b1Ssfencevma s0_vec_iss_valid || 2808f1fa9b1Ssfencevma s0_l2l_fwd_valid || 28146ba64e8Ssfencevma s0_low_conf_prf_valid) && !s0_kill 2828f1fa9b1Ssfencevma 2838f1fa9b1Ssfencevma // which is S0's out is ready and dcache is ready 2848f1fa9b1Ssfencevma val s0_try_ptr_chasing = s0_l2l_fwd_select 28546ba64e8Ssfencevma val s0_do_try_ptr_chasing = s0_try_ptr_chasing && s0_can_go && io.ldu_io.dcache.req.ready 2868f1fa9b1Ssfencevma val s0_ptr_chasing_vaddr = io.ldu_io.l2l_fwd_in.data(5, 0) +& io.ldu_io.ld_fast_imm(5, 0) 2878f1fa9b1Ssfencevma val s0_ptr_chasing_canceled = WireInit(false.B) 2888f1fa9b1Ssfencevma s0_kill := s0_ptr_chasing_canceled || (s0_out.uop.robIdx.needFlush(io.redirect) && !s0_try_ptr_chasing) 2898f1fa9b1Ssfencevma 2908f1fa9b1Ssfencevma // prefetch related ctrl signal 2918f1fa9b1Ssfencevma val s0_prf = Wire(Bool()) 2928f1fa9b1Ssfencevma val s0_prf_rd = Wire(Bool()) 2938f1fa9b1Ssfencevma val s0_prf_wr = Wire(Bool()) 2948f1fa9b1Ssfencevma val s0_hw_prf = s0_hw_prf_select 2958f1fa9b1Ssfencevma 2966810d1e8Ssfencevma io.canAcceptLowConfPrefetch := s0_low_conf_prf_ready 2976810d1e8Ssfencevma io.canAcceptHighConfPrefetch := s0_high_conf_prf_ready 2988f1fa9b1Ssfencevma 29946ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 30046ba64e8Ssfencevma s0_dcache_ready := Mux(s0_ld_flow, io.ldu_io.dcache.req.ready, io.stu_io.dcache.req.ready) 30146ba64e8Ssfencevma } else { 302f404aaefSsfencevma s0_dcache_ready := Mux(s0_ld_flow, io.ldu_io.dcache.req.ready, true.B) 30346ba64e8Ssfencevma } 304f404aaefSsfencevma 3058f1fa9b1Ssfencevma // query DTLB 30646ba64e8Ssfencevma io.tlb.req.valid := s0_valid && s0_dcache_ready 3078f1fa9b1Ssfencevma io.tlb.req.bits.cmd := Mux(s0_prf, 3088f1fa9b1Ssfencevma Mux(s0_prf_wr, TlbCmd.write, TlbCmd.read), 3098f1fa9b1Ssfencevma Mux(s0_ld_flow, TlbCmd.read, TlbCmd.write) 3108f1fa9b1Ssfencevma ) 311d7739d95Ssfencevma io.tlb.req.bits.vaddr := Mux(s0_hw_prf_select, io.ldu_io.prefetch_req.bits.paddr, s0_vaddr) 3126e39fcc5Szhanglinjuan io.tlb.req.bits.size := Mux(s0_isvec, io.vec_stu_io.in.bits.alignedType, LSUOpType.size(s0_uop.fuOpType)) 3138f1fa9b1Ssfencevma io.tlb.req.bits.kill := s0_kill 3148f1fa9b1Ssfencevma io.tlb.req.bits.memidx.is_ld := s0_ld_flow 3158f1fa9b1Ssfencevma io.tlb.req.bits.memidx.is_st := !s0_ld_flow 3168f1fa9b1Ssfencevma io.tlb.req.bits.memidx.idx := s0_uop.lqIdx.value 3178f1fa9b1Ssfencevma io.tlb.req.bits.debug.robIdx := s0_uop.robIdx 3188f1fa9b1Ssfencevma io.tlb.req.bits.no_translate := s0_hw_prf_select // hw b.reqetch addr does not need to be translated 3198f1fa9b1Ssfencevma io.tlb.req.bits.debug.pc := s0_uop.pc 3208f1fa9b1Ssfencevma io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue 3218f1fa9b1Ssfencevma 3228f1fa9b1Ssfencevma // query DCache 32346ba64e8Ssfencevma // for load 32446ba64e8Ssfencevma io.ldu_io.dcache.req.valid := s0_valid && s0_dcache_ready && s0_ld_flow 32546ba64e8Ssfencevma io.ldu_io.dcache.req.bits.cmd := Mux(s0_prf_rd, MemoryOpConstants.M_PFR, 32646ba64e8Ssfencevma Mux(s0_prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD)) 32746ba64e8Ssfencevma io.ldu_io.dcache.req.bits.vaddr := s0_vaddr 32846ba64e8Ssfencevma io.ldu_io.dcache.req.bits.mask := s0_mask 32946ba64e8Ssfencevma io.ldu_io.dcache.req.bits.data := DontCare 33046ba64e8Ssfencevma io.ldu_io.dcache.req.bits.isFirstIssue := s0_isFirstIssue 33146ba64e8Ssfencevma io.ldu_io.dcache.req.bits.instrtype := Mux(s0_prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U) 33246ba64e8Ssfencevma io.ldu_io.dcache.req.bits.debug_robIdx := s0_uop.robIdx.value 33346ba64e8Ssfencevma io.ldu_io.dcache.req.bits.replayCarry := s0_rep_carry 33446ba64e8Ssfencevma io.ldu_io.dcache.req.bits.id := DontCare // TODO: update cache meta 33546ba64e8Ssfencevma io.ldu_io.dcache.pf_source := Mux(s0_hw_prf_select, io.ldu_io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL) 33646ba64e8Ssfencevma 33746ba64e8Ssfencevma // for store 33846ba64e8Ssfencevma io.stu_io.dcache.req.valid := s0_valid && s0_dcache_ready && !s0_ld_flow && !s0_prf 33946ba64e8Ssfencevma io.stu_io.dcache.req.bits.cmd := MemoryOpConstants.M_PFW 34046ba64e8Ssfencevma io.stu_io.dcache.req.bits.vaddr := s0_vaddr 34146ba64e8Ssfencevma io.stu_io.dcache.req.bits.instrtype := Mux(s0_prf, DCACHE_PREFETCH_SOURCE.U, STORE_SOURCE.U) 3428f1fa9b1Ssfencevma 3438f1fa9b1Ssfencevma // load flow priority mux 3448f1fa9b1Ssfencevma def fromNullSource() = { 3458f1fa9b1Ssfencevma s0_vaddr := 0.U 3468f1fa9b1Ssfencevma s0_mask := 0.U 3478f1fa9b1Ssfencevma s0_uop := 0.U.asTypeOf(new DynInst) 3488f1fa9b1Ssfencevma s0_try_l2l := false.B 3498f1fa9b1Ssfencevma s0_has_rob_entry := false.B 3508f1fa9b1Ssfencevma s0_rsIdx := 0.U 3518f1fa9b1Ssfencevma s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 3528f1fa9b1Ssfencevma s0_mshrid := 0.U 3538f1fa9b1Ssfencevma s0_isFirstIssue := false.B 3548f1fa9b1Ssfencevma s0_fast_rep := false.B 3558f1fa9b1Ssfencevma s0_ld_rep := false.B 3568f1fa9b1Ssfencevma s0_l2l_fwd := false.B 3578f1fa9b1Ssfencevma s0_prf := false.B 3588f1fa9b1Ssfencevma s0_prf_rd := false.B 3598f1fa9b1Ssfencevma s0_prf_wr := false.B 3608f1fa9b1Ssfencevma s0_sched_idx := 0.U 361aa9d03e8SHaojin Tang s0_deqPortIdx := 0.U 3628f1fa9b1Ssfencevma } 3638f1fa9b1Ssfencevma 3648f1fa9b1Ssfencevma def fromFastReplaySource(src: LqWriteBundle) = { 3658f1fa9b1Ssfencevma s0_vaddr := src.vaddr 3668f1fa9b1Ssfencevma s0_mask := src.mask 3678f1fa9b1Ssfencevma s0_uop := src.uop 3688f1fa9b1Ssfencevma s0_try_l2l := false.B 3698f1fa9b1Ssfencevma s0_has_rob_entry := src.hasROBEntry 3708f1fa9b1Ssfencevma s0_rep_carry := src.rep_info.rep_carry 3718f1fa9b1Ssfencevma s0_mshrid := src.rep_info.mshr_id 3728f1fa9b1Ssfencevma s0_rsIdx := src.rsIdx 3738f1fa9b1Ssfencevma s0_isFirstIssue := false.B 3748f1fa9b1Ssfencevma s0_fast_rep := true.B 3758f1fa9b1Ssfencevma s0_ld_rep := src.isLoadReplay 3768f1fa9b1Ssfencevma s0_l2l_fwd := false.B 3778f1fa9b1Ssfencevma s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 3788f1fa9b1Ssfencevma s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 3798f1fa9b1Ssfencevma s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 3808f1fa9b1Ssfencevma s0_sched_idx := src.schedIndex 381aa9d03e8SHaojin Tang s0_deqPortIdx := src.deqPortIdx 3828f1fa9b1Ssfencevma } 3838f1fa9b1Ssfencevma 3848f1fa9b1Ssfencevma def fromNormalReplaySource(src: LsPipelineBundle) = { 3858f1fa9b1Ssfencevma s0_vaddr := src.vaddr 3868f1fa9b1Ssfencevma s0_mask := genVWmask(src.vaddr, src.uop.fuOpType(1, 0)) 3878f1fa9b1Ssfencevma s0_uop := src.uop 3888f1fa9b1Ssfencevma s0_try_l2l := false.B 3898f1fa9b1Ssfencevma s0_has_rob_entry := true.B 3908f1fa9b1Ssfencevma s0_rsIdx := src.rsIdx 3918f1fa9b1Ssfencevma s0_rep_carry := src.replayCarry 3928f1fa9b1Ssfencevma s0_mshrid := src.mshrid 3938f1fa9b1Ssfencevma s0_isFirstIssue := false.B 3948f1fa9b1Ssfencevma s0_fast_rep := false.B 3958f1fa9b1Ssfencevma s0_ld_rep := true.B 3968f1fa9b1Ssfencevma s0_l2l_fwd := false.B 3978f1fa9b1Ssfencevma s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 3988f1fa9b1Ssfencevma s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 3998f1fa9b1Ssfencevma s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 4008f1fa9b1Ssfencevma s0_sched_idx := src.schedIndex 401aa9d03e8SHaojin Tang s0_deqPortIdx := src.deqPortIdx 4028f1fa9b1Ssfencevma } 4038f1fa9b1Ssfencevma 4048f1fa9b1Ssfencevma def fromPrefetchSource(src: L1PrefetchReq) = { 4058f1fa9b1Ssfencevma s0_vaddr := src.getVaddr() 4068f1fa9b1Ssfencevma s0_mask := 0.U 4078f1fa9b1Ssfencevma s0_uop := DontCare 4088f1fa9b1Ssfencevma s0_try_l2l := false.B 4098f1fa9b1Ssfencevma s0_has_rob_entry := false.B 4108f1fa9b1Ssfencevma s0_rsIdx := 0.U 4118f1fa9b1Ssfencevma s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 4128f1fa9b1Ssfencevma s0_mshrid := 0.U 4138f1fa9b1Ssfencevma s0_isFirstIssue := false.B 4148f1fa9b1Ssfencevma s0_fast_rep := false.B 4158f1fa9b1Ssfencevma s0_ld_rep := false.B 4168f1fa9b1Ssfencevma s0_l2l_fwd := false.B 4178f1fa9b1Ssfencevma s0_prf := true.B 4188f1fa9b1Ssfencevma s0_prf_rd := !src.is_store 4198f1fa9b1Ssfencevma s0_prf_wr := src.is_store 4208f1fa9b1Ssfencevma s0_sched_idx := 0.U 421aa9d03e8SHaojin Tang s0_deqPortIdx := 0.U 4228f1fa9b1Ssfencevma } 4238f1fa9b1Ssfencevma 4248f1fa9b1Ssfencevma def fromIntIssueSource(src: MemExuInput) = { 4258f1fa9b1Ssfencevma s0_vaddr := src.src(0) + SignExt(src.uop.imm(11, 0), VAddrBits) 4268f1fa9b1Ssfencevma s0_mask := genVWmask(s0_vaddr, src.uop.fuOpType(1,0)) 4278f1fa9b1Ssfencevma s0_uop := src.uop 4288f1fa9b1Ssfencevma s0_try_l2l := false.B 4298f1fa9b1Ssfencevma s0_has_rob_entry := true.B 4306810d1e8Ssfencevma s0_rsIdx := src.iqIdx 4318f1fa9b1Ssfencevma s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 4328f1fa9b1Ssfencevma s0_mshrid := 0.U 4338f1fa9b1Ssfencevma s0_isFirstIssue := true.B 4348f1fa9b1Ssfencevma s0_fast_rep := false.B 4358f1fa9b1Ssfencevma s0_ld_rep := false.B 4368f1fa9b1Ssfencevma s0_l2l_fwd := false.B 4378f1fa9b1Ssfencevma s0_prf := LSUOpType.isPrefetch(src.uop.fuOpType) 4388f1fa9b1Ssfencevma s0_prf_rd := src.uop.fuOpType === LSUOpType.prefetch_r 4398f1fa9b1Ssfencevma s0_prf_wr := src.uop.fuOpType === LSUOpType.prefetch_w 4408f1fa9b1Ssfencevma s0_sched_idx := 0.U 441aa9d03e8SHaojin Tang s0_deqPortIdx := src.deqPortIdx 4428f1fa9b1Ssfencevma } 4438f1fa9b1Ssfencevma 4446e39fcc5Szhanglinjuan def fromVecIssueSource(src: VecStorePipeBundle) = { 4456e39fcc5Szhanglinjuan // For now, vector port handles only vector store flows 4466e39fcc5Szhanglinjuan s0_vaddr := src.vaddr 4476e39fcc5Szhanglinjuan s0_mask := src.mask 4486e39fcc5Szhanglinjuan s0_uop := src.uop 4498f1fa9b1Ssfencevma s0_try_l2l := false.B 4506e39fcc5Szhanglinjuan s0_has_rob_entry := true.B 4518f1fa9b1Ssfencevma s0_rsIdx := 0.U 4528f1fa9b1Ssfencevma s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 4538f1fa9b1Ssfencevma s0_mshrid := 0.U 4546e39fcc5Szhanglinjuan s0_isFirstIssue := src.isFirstIssue 4558f1fa9b1Ssfencevma s0_fast_rep := false.B 4568f1fa9b1Ssfencevma s0_ld_rep := false.B 4578f1fa9b1Ssfencevma s0_l2l_fwd := false.B 4588f1fa9b1Ssfencevma s0_prf := false.B 4598f1fa9b1Ssfencevma s0_prf_rd := false.B 4608f1fa9b1Ssfencevma s0_prf_wr := false.B 4618f1fa9b1Ssfencevma s0_sched_idx := 0.U 4626e39fcc5Szhanglinjuan 4636e39fcc5Szhanglinjuan s0_isvec := true.B 4646e39fcc5Szhanglinjuan s0_exp := io.vec_stu_io.in.bits.exp 4656e39fcc5Szhanglinjuan s0_flowPtr := io.vec_stu_io.in.bits.flowPtr 46624c8b0e1Sweiding liu s0_isLastElem := io.vec_stu_io.in.bits.isLastElem 467aa9d03e8SHaojin Tang s0_deqPortIdx := 0.U 4688f1fa9b1Ssfencevma } 4698f1fa9b1Ssfencevma 4708f1fa9b1Ssfencevma def fromLoadToLoadSource(src: LoadToLoadIO) = { 4718f1fa9b1Ssfencevma s0_vaddr := Cat(src.data(XLEN-1, 6), s0_ptr_chasing_vaddr(5,0)) 4728f1fa9b1Ssfencevma s0_mask := genVWmask(s0_vaddr, io.ldu_io.ld_fast_fuOpType(1, 0)) 4738f1fa9b1Ssfencevma // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding. 4748f1fa9b1Ssfencevma // Assume the pointer chasing is always ld. 4758f1fa9b1Ssfencevma s0_uop.fuOpType := io.ldu_io.ld_fast_fuOpType 4768f1fa9b1Ssfencevma s0_try_l2l := true.B 477aa9d03e8SHaojin Tang // we dont care s0_isFirstIssue and s0_rsIdx and s0_sqIdx and s0_deqPortIdx in S0 when trying pointchasing 4788f1fa9b1Ssfencevma // because these signals will be updated in S1 4798f1fa9b1Ssfencevma s0_has_rob_entry := false.B 4808f1fa9b1Ssfencevma s0_rsIdx := 0.U 4818f1fa9b1Ssfencevma s0_mshrid := 0.U 4828f1fa9b1Ssfencevma s0_rep_carry := 0.U.asTypeOf(s0_rep_carry.cloneType) 4838f1fa9b1Ssfencevma s0_isFirstIssue := true.B 4848f1fa9b1Ssfencevma s0_fast_rep := false.B 4858f1fa9b1Ssfencevma s0_ld_rep := false.B 4868f1fa9b1Ssfencevma s0_l2l_fwd := true.B 4878f1fa9b1Ssfencevma s0_prf := false.B 4888f1fa9b1Ssfencevma s0_prf_rd := false.B 4898f1fa9b1Ssfencevma s0_prf_wr := false.B 4908f1fa9b1Ssfencevma s0_sched_idx := 0.U 491aa9d03e8SHaojin Tang s0_deqPortIdx := 0.U 4928f1fa9b1Ssfencevma } 4938f1fa9b1Ssfencevma 4948f1fa9b1Ssfencevma // set default 4958f1fa9b1Ssfencevma s0_uop := DontCare 4968f1fa9b1Ssfencevma when (s0_super_ld_rep_select) { fromNormalReplaySource(io.ldu_io.replay.bits) } 4978f1fa9b1Ssfencevma .elsewhen (s0_ld_fast_rep_select) { fromFastReplaySource(io.ldu_io.fast_rep_in.bits) } 4988f1fa9b1Ssfencevma .elsewhen (s0_ld_rep_select) { fromNormalReplaySource(io.ldu_io.replay.bits) } 499d7739d95Ssfencevma .elsewhen (s0_hw_prf_select) { fromPrefetchSource(io.ldu_io.prefetch_req.bits) } 5008f1fa9b1Ssfencevma .elsewhen (s0_int_iss_select) { fromIntIssueSource(io.lsin.bits) } 5016e39fcc5Szhanglinjuan .elsewhen (s0_vec_iss_select) { fromVecIssueSource(io.vec_stu_io.in.bits) } 5028f1fa9b1Ssfencevma .otherwise { 5038f1fa9b1Ssfencevma if (EnableLoadToLoadForward) { 5048f1fa9b1Ssfencevma fromLoadToLoadSource(io.ldu_io.l2l_fwd_in) 5058f1fa9b1Ssfencevma } else { 5068f1fa9b1Ssfencevma fromNullSource() 5078f1fa9b1Ssfencevma } 5088f1fa9b1Ssfencevma } 5098f1fa9b1Ssfencevma 5108f1fa9b1Ssfencevma // address align check 5116e39fcc5Szhanglinjuan val s0_addr_aligned = LookupTree(Mux(s0_isvec, io.vec_stu_io.in.bits.alignedType, s0_uop.fuOpType(1, 0)), List( 5128f1fa9b1Ssfencevma "b00".U -> true.B, //b 5138f1fa9b1Ssfencevma "b01".U -> (s0_vaddr(0) === 0.U), //h 5148f1fa9b1Ssfencevma "b10".U -> (s0_vaddr(1, 0) === 0.U), //w 5158f1fa9b1Ssfencevma "b11".U -> (s0_vaddr(2, 0) === 0.U) //d 5168f1fa9b1Ssfencevma )) 5178f1fa9b1Ssfencevma 5188f1fa9b1Ssfencevma // accept load flow if dcache ready (tlb is always ready) 5198f1fa9b1Ssfencevma // TODO: prefetch need writeback to loadQueueFlag 5208f1fa9b1Ssfencevma s0_out := DontCare 5218f1fa9b1Ssfencevma s0_out.rsIdx := s0_rsIdx 5228f1fa9b1Ssfencevma s0_out.vaddr := s0_vaddr 5238f1fa9b1Ssfencevma s0_out.mask := s0_mask 5248f1fa9b1Ssfencevma s0_out.uop := s0_uop 5258f1fa9b1Ssfencevma s0_out.isFirstIssue := s0_isFirstIssue 5268f1fa9b1Ssfencevma s0_out.hasROBEntry := s0_has_rob_entry 5278f1fa9b1Ssfencevma s0_out.isPrefetch := s0_prf 5288f1fa9b1Ssfencevma s0_out.isHWPrefetch := s0_hw_prf 5298f1fa9b1Ssfencevma s0_out.isFastReplay := s0_fast_rep 5308f1fa9b1Ssfencevma s0_out.isLoadReplay := s0_ld_rep 5318f1fa9b1Ssfencevma s0_out.isFastPath := s0_l2l_fwd 5328f1fa9b1Ssfencevma s0_out.mshrid := s0_mshrid 5336e39fcc5Szhanglinjuan s0_out.isvec := s0_isvec 53424c8b0e1Sweiding liu s0_out.isLastElem := s0_isLastElem 535e20747afSXuan Hu s0_out.vecActive := s0_exp 5366e39fcc5Szhanglinjuan s0_out.sflowPtr := s0_flowPtr 5378f1fa9b1Ssfencevma s0_out.uop.exceptionVec(loadAddrMisaligned) := !s0_addr_aligned && s0_ld_flow 5388f1fa9b1Ssfencevma s0_out.uop.exceptionVec(storeAddrMisaligned) := !s0_addr_aligned && !s0_ld_flow 5398f1fa9b1Ssfencevma s0_out.forward_tlDchannel := s0_super_ld_rep_select 5408f1fa9b1Ssfencevma when(io.tlb.req.valid && s0_isFirstIssue) { 5418f1fa9b1Ssfencevma s0_out.uop.debugInfo.tlbFirstReqTime := GTimer() 5428f1fa9b1Ssfencevma }.otherwise{ 5438f1fa9b1Ssfencevma s0_out.uop.debugInfo.tlbFirstReqTime := s0_uop.debugInfo.tlbFirstReqTime 5448f1fa9b1Ssfencevma } 5458f1fa9b1Ssfencevma s0_out.schedIndex := s0_sched_idx 546aa9d03e8SHaojin Tang s0_out.deqPortIdx := s0_deqPortIdx 5478f1fa9b1Ssfencevma 5488f1fa9b1Ssfencevma // load fast replay 54946ba64e8Ssfencevma io.ldu_io.fast_rep_in.ready := (s0_can_go && io.ldu_io.dcache.req.ready && s0_ld_fast_rep_ready) 5508f1fa9b1Ssfencevma 5518f1fa9b1Ssfencevma // load flow source ready 5528f1fa9b1Ssfencevma // cache missed load has highest priority 5538f1fa9b1Ssfencevma // always accept cache missed load flow from load replay queue 55446ba64e8Ssfencevma io.ldu_io.replay.ready := (s0_can_go && io.ldu_io.dcache.req.ready && (s0_ld_rep_ready && !s0_rep_stall || s0_super_ld_rep_select)) 5558f1fa9b1Ssfencevma 5568f1fa9b1Ssfencevma // accept load flow from rs when: 5578f1fa9b1Ssfencevma // 1) there is no lsq-replayed load 5588f1fa9b1Ssfencevma // 2) there is no fast replayed load 5598f1fa9b1Ssfencevma // 3) there is no high confidence prefetch request 560f404aaefSsfencevma io.lsin.ready := (s0_can_go && 561f404aaefSsfencevma Mux(FuType.isLoad(io.lsin.bits.uop.fuType), io.ldu_io.dcache.req.ready, 562f404aaefSsfencevma (if (StorePrefetchL1Enabled) io.stu_io.dcache.req.ready else true.B)) && s0_int_iss_ready) 5636e39fcc5Szhanglinjuan io.vec_stu_io.in.ready := s0_can_go && io.ldu_io.dcache.req.ready && s0_vec_iss_ready 564f404aaefSsfencevma 5658f1fa9b1Ssfencevma 5668f1fa9b1Ssfencevma // for hw prefetch load flow feedback, to be added later 5678f1fa9b1Ssfencevma // io.prefetch_in.ready := s0_hw_prf_select 5688f1fa9b1Ssfencevma 5698f1fa9b1Ssfencevma // dcache replacement extra info 5708f1fa9b1Ssfencevma // TODO: should prefetch load update replacement? 57146ba64e8Ssfencevma io.ldu_io.dcache.replacementUpdated := Mux(s0_ld_rep_select || s0_super_ld_rep_select, io.ldu_io.replay.bits.replacementUpdated, false.B) 5728f1fa9b1Ssfencevma 57346ba64e8Ssfencevma io.stu_io.prefetch_req.ready := s1_ready && io.stu_io.dcache.req.ready && !io.lsin.valid 5748f1fa9b1Ssfencevma 5758f1fa9b1Ssfencevma // load debug 57646ba64e8Ssfencevma XSDebug(io.ldu_io.dcache.req.fire && s0_ld_flow, 5778f1fa9b1Ssfencevma p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 5788f1fa9b1Ssfencevma ) 5798f1fa9b1Ssfencevma XSDebug(s0_valid && s0_ld_flow, 5808f1fa9b1Ssfencevma p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lqIdx ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " + 5818f1fa9b1Ssfencevma p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n") 5828f1fa9b1Ssfencevma 5838f1fa9b1Ssfencevma // store debug 58446ba64e8Ssfencevma XSDebug(io.stu_io.dcache.req.fire && !s0_ld_flow, 5858f1fa9b1Ssfencevma p"[DCACHE STORE REQ] pc ${Hexadecimal(s0_uop.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 5868f1fa9b1Ssfencevma ) 5878f1fa9b1Ssfencevma XSDebug(s0_valid && !s0_ld_flow, 5888f1fa9b1Ssfencevma p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, sqIdx ${Hexadecimal(s0_out.uop.sqIdx.asUInt)}, " + 5898f1fa9b1Ssfencevma p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n") 5908f1fa9b1Ssfencevma 5918f1fa9b1Ssfencevma 5928f1fa9b1Ssfencevma // Pipeline 5938f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 5948f1fa9b1Ssfencevma // stage 1 5958f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 5968f1fa9b1Ssfencevma // TLB resp (send paddr to dcache) 5978f1fa9b1Ssfencevma val s1_valid = RegInit(false.B) 5988f1fa9b1Ssfencevma val s1_in = Wire(new LqWriteBundle) 5998f1fa9b1Ssfencevma val s1_out = Wire(new LqWriteBundle) 6008f1fa9b1Ssfencevma val s1_kill = Wire(Bool()) 6018f1fa9b1Ssfencevma val s1_can_go = s2_ready 6028f1fa9b1Ssfencevma val s1_fire = s1_valid && !s1_kill && s1_can_go 6038f1fa9b1Ssfencevma val s1_ld_flow = RegNext(s0_ld_flow) 6046e39fcc5Szhanglinjuan val s1_isvec = RegEnable(s0_out.isvec, false.B, s0_fire) 60524c8b0e1Sweiding liu val s1_isLastElem = RegEnable(s0_out.isLastElem, false.B, s0_fire) 6068f1fa9b1Ssfencevma 6078f1fa9b1Ssfencevma s1_ready := !s1_valid || s1_kill || s2_ready 6088f1fa9b1Ssfencevma when (s0_fire) { s1_valid := true.B } 6098f1fa9b1Ssfencevma .elsewhen (s1_fire) { s1_valid := false.B } 6108f1fa9b1Ssfencevma .elsewhen (s1_kill) { s1_valid := false.B } 6118f1fa9b1Ssfencevma s1_in := RegEnable(s0_out, s0_fire) 6128f1fa9b1Ssfencevma 6138f1fa9b1Ssfencevma val s1_fast_rep_dly_err = RegNext(io.ldu_io.fast_rep_in.bits.delayedLoadError) 6148f1fa9b1Ssfencevma val s1_fast_rep_kill = s1_fast_rep_dly_err && s1_in.isFastReplay 6158f1fa9b1Ssfencevma val s1_l2l_fwd_dly_err = RegNext(io.ldu_io.l2l_fwd_in.dly_ld_err) 6168f1fa9b1Ssfencevma val s1_l2l_fwd_kill = s1_l2l_fwd_dly_err && s1_in.isFastPath 6178f1fa9b1Ssfencevma val s1_late_kill = s1_fast_rep_kill || s1_l2l_fwd_kill 6188f1fa9b1Ssfencevma val s1_vaddr_hi = Wire(UInt()) 6198f1fa9b1Ssfencevma val s1_vaddr_lo = Wire(UInt()) 6208f1fa9b1Ssfencevma val s1_vaddr = Wire(UInt()) 6218f1fa9b1Ssfencevma val s1_paddr_dup_lsu = Wire(UInt()) 6228f1fa9b1Ssfencevma val s1_paddr_dup_dcache = Wire(UInt()) 6238f1fa9b1Ssfencevma val s1_ld_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR // af & pf exception were modified below. 6248f1fa9b1Ssfencevma val s1_st_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, StaCfg).asUInt.orR // af & pf exception were modified below. 6258f1fa9b1Ssfencevma val s1_exception = (s1_ld_flow && s1_ld_exception) || (!s1_ld_flow && s1_st_exception) 6268f1fa9b1Ssfencevma val s1_tlb_miss = io.tlb.resp.bits.miss 6278f1fa9b1Ssfencevma val s1_prf = s1_in.isPrefetch 6288f1fa9b1Ssfencevma val s1_hw_prf = s1_in.isHWPrefetch 6298f1fa9b1Ssfencevma val s1_sw_prf = s1_prf && !s1_hw_prf 6308f1fa9b1Ssfencevma val s1_tlb_memidx = io.tlb.resp.bits.memidx 6318f1fa9b1Ssfencevma 63246ba64e8Ssfencevma // mmio cbo decoder 63346ba64e8Ssfencevma val s1_mmio_cbo = (s1_in.uop.fuOpType === LSUOpType.cbo_clean || 63446ba64e8Ssfencevma s1_in.uop.fuOpType === LSUOpType.cbo_flush || 635ade14125Ssfencevma s1_in.uop.fuOpType === LSUOpType.cbo_inval) && !s1_ld_flow && !s1_prf 63646ba64e8Ssfencevma val s1_mmio = s1_mmio_cbo 63746ba64e8Ssfencevma 6388f1fa9b1Ssfencevma s1_vaddr_hi := s1_in.vaddr(VAddrBits - 1, 6) 6398f1fa9b1Ssfencevma s1_vaddr_lo := s1_in.vaddr(5, 0) 6408f1fa9b1Ssfencevma s1_vaddr := Cat(s1_vaddr_hi, s1_vaddr_lo) 6418f1fa9b1Ssfencevma s1_paddr_dup_lsu := io.tlb.resp.bits.paddr(0) 6428f1fa9b1Ssfencevma s1_paddr_dup_dcache := io.tlb.resp.bits.paddr(1) 6438f1fa9b1Ssfencevma 6448f1fa9b1Ssfencevma when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && 6458f1fa9b1Ssfencevma s1_tlb_memidx.idx === s1_in.uop.lqIdx.value && s1_ld_flow) { 6468f1fa9b1Ssfencevma // printf("Load idx = %d\n", s1_tlb_memidx.idx) 6478f1fa9b1Ssfencevma s1_out.uop.debugInfo.tlbRespTime := GTimer() 6488f1fa9b1Ssfencevma } .elsewhen(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && 6498f1fa9b1Ssfencevma s1_tlb_memidx.idx === s1_out.uop.sqIdx.value && !s1_ld_flow) { 6508f1fa9b1Ssfencevma // printf("Store idx = %d\n", s1_tlb_memidx.idx) 6518f1fa9b1Ssfencevma s1_out.uop.debugInfo.tlbRespTime := GTimer() 6528f1fa9b1Ssfencevma } 6538f1fa9b1Ssfencevma 6548f1fa9b1Ssfencevma io.tlb.req_kill := s1_kill 6558f1fa9b1Ssfencevma io.tlb.resp.ready := true.B 6568f1fa9b1Ssfencevma 65746ba64e8Ssfencevma io.ldu_io.dcache.s1_paddr_dup_lsu <> s1_paddr_dup_lsu 65846ba64e8Ssfencevma io.ldu_io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache 65946ba64e8Ssfencevma io.ldu_io.dcache.s1_kill := s1_kill || s1_tlb_miss || s1_exception 6608f1fa9b1Ssfencevma 6618f1fa9b1Ssfencevma // store to load forwarding 6628f1fa9b1Ssfencevma io.ldu_io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 6638f1fa9b1Ssfencevma io.ldu_io.sbuffer.vaddr := s1_vaddr 6648f1fa9b1Ssfencevma io.ldu_io.sbuffer.paddr := s1_paddr_dup_lsu 6658f1fa9b1Ssfencevma io.ldu_io.sbuffer.uop := s1_in.uop 6668f1fa9b1Ssfencevma io.ldu_io.sbuffer.sqIdx := s1_in.uop.sqIdx 6678f1fa9b1Ssfencevma io.ldu_io.sbuffer.mask := s1_in.mask 6688f1fa9b1Ssfencevma io.ldu_io.sbuffer.pc := s1_in.uop.pc // FIXME: remove it 6698f1fa9b1Ssfencevma 6706e39fcc5Szhanglinjuan io.ldu_io.vec_forward.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 6716e39fcc5Szhanglinjuan io.ldu_io.vec_forward.vaddr := s1_vaddr 6726e39fcc5Szhanglinjuan io.ldu_io.vec_forward.paddr := s1_paddr_dup_lsu 6736e39fcc5Szhanglinjuan io.ldu_io.vec_forward.uop := s1_in.uop 6746e39fcc5Szhanglinjuan io.ldu_io.vec_forward.sqIdx := s1_in.uop.sqIdx 6756e39fcc5Szhanglinjuan io.ldu_io.vec_forward.mask := s1_in.mask 6766e39fcc5Szhanglinjuan io.ldu_io.vec_forward.pc := s1_in.uop.pc // FIXME: remove it 6776e39fcc5Szhanglinjuan 6788f1fa9b1Ssfencevma io.ldu_io.lsq.forward.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_fast_rep_kill || s1_prf || !s1_ld_flow) 6798f1fa9b1Ssfencevma io.ldu_io.lsq.forward.vaddr := s1_vaddr 6808f1fa9b1Ssfencevma io.ldu_io.lsq.forward.paddr := s1_paddr_dup_lsu 6818f1fa9b1Ssfencevma io.ldu_io.lsq.forward.uop := s1_in.uop 6828f1fa9b1Ssfencevma io.ldu_io.lsq.forward.sqIdx := s1_in.uop.sqIdx 6838f1fa9b1Ssfencevma io.ldu_io.lsq.forward.sqIdxMask := 0.U 6848f1fa9b1Ssfencevma io.ldu_io.lsq.forward.mask := s1_in.mask 6858f1fa9b1Ssfencevma io.ldu_io.lsq.forward.pc := s1_in.uop.pc // FIXME: remove it 6868f1fa9b1Ssfencevma 6878f1fa9b1Ssfencevma // st-ld violation query 6888f1fa9b1Ssfencevma val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => { 6898f1fa9b1Ssfencevma io.ldu_io.stld_nuke_query(w).valid && // query valid 6908f1fa9b1Ssfencevma isAfter(s1_in.uop.robIdx, io.ldu_io.stld_nuke_query(w).bits.robIdx) && // older store 6918f1fa9b1Ssfencevma // TODO: Fix me when vector instruction 6928f1fa9b1Ssfencevma (s1_paddr_dup_lsu(PAddrBits-1, 3) === io.ldu_io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3)) && // paddr match 6938f1fa9b1Ssfencevma (s1_in.mask & io.ldu_io.stld_nuke_query(w).bits.mask).orR // data mask contain 6948f1fa9b1Ssfencevma })).asUInt.orR && !s1_tlb_miss && s1_ld_flow 6958f1fa9b1Ssfencevma 6968f1fa9b1Ssfencevma s1_out := s1_in 6978f1fa9b1Ssfencevma s1_out.vaddr := s1_vaddr 6988f1fa9b1Ssfencevma s1_out.paddr := s1_paddr_dup_lsu 6998f1fa9b1Ssfencevma s1_out.tlbMiss := s1_tlb_miss 7008f1fa9b1Ssfencevma s1_out.ptwBack := io.tlb.resp.bits.ptwBack 7018f1fa9b1Ssfencevma s1_out.rsIdx := s1_in.rsIdx 7028f1fa9b1Ssfencevma s1_out.rep_info.debug := s1_in.uop.debugInfo 7038f1fa9b1Ssfencevma s1_out.rep_info.nuke := s1_nuke && !s1_sw_prf 7048f1fa9b1Ssfencevma s1_out.lateKill := s1_late_kill 7058f1fa9b1Ssfencevma 7068f1fa9b1Ssfencevma when (s1_ld_flow) { 7078f1fa9b1Ssfencevma when (!s1_late_kill) { 7088f1fa9b1Ssfencevma // current ori test will cause the case of ldest == 0, below will be modifeid in the future. 7098f1fa9b1Ssfencevma // af & pf exception were modified 7108f1fa9b1Ssfencevma s1_out.uop.exceptionVec(loadPageFault) := io.tlb.resp.bits.excp(0).pf.ld 7118f1fa9b1Ssfencevma s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld 7128f1fa9b1Ssfencevma } .otherwise { 7138f1fa9b1Ssfencevma s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B 7148f1fa9b1Ssfencevma s1_out.uop.exceptionVec(loadAccessFault) := s1_late_kill 7158f1fa9b1Ssfencevma } 7168f1fa9b1Ssfencevma } .otherwise { 7178f1fa9b1Ssfencevma s1_out.uop.exceptionVec(storePageFault) := io.tlb.resp.bits.excp(0).pf.st 7188f1fa9b1Ssfencevma s1_out.uop.exceptionVec(storeAccessFault) := io.tlb.resp.bits.excp(0).af.st 7198f1fa9b1Ssfencevma } 7208f1fa9b1Ssfencevma 7218f1fa9b1Ssfencevma // pointer chasing 7228f1fa9b1Ssfencevma val s1_try_ptr_chasing = RegNext(s0_do_try_ptr_chasing, false.B) 7238f1fa9b1Ssfencevma val s1_ptr_chasing_vaddr = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing) 7248f1fa9b1Ssfencevma val s1_fu_op_type_not_ld = WireInit(false.B) 7258f1fa9b1Ssfencevma val s1_not_fast_match = WireInit(false.B) 7268f1fa9b1Ssfencevma val s1_addr_mismatch = WireInit(false.B) 7278f1fa9b1Ssfencevma val s1_addr_misaligned = WireInit(false.B) 7288f1fa9b1Ssfencevma val s1_ptr_chasing_canceled = WireInit(false.B) 7298f1fa9b1Ssfencevma val s1_cancel_ptr_chasing = WireInit(false.B) 7308f1fa9b1Ssfencevma 7318f1fa9b1Ssfencevma s1_kill := s1_late_kill || 7328f1fa9b1Ssfencevma s1_cancel_ptr_chasing || 7338f1fa9b1Ssfencevma s1_in.uop.robIdx.needFlush(io.redirect) || 7343ea36cd5Szhanglinjuan RegEnable(s0_kill, false.B, io.lsin.valid || io.ldu_io.replay.valid || io.ldu_io.l2l_fwd_in.valid || io.ldu_io.fast_rep_in.valid || io.vec_stu_io.in.valid) 7358f1fa9b1Ssfencevma 7368f1fa9b1Ssfencevma if (EnableLoadToLoadForward) { 7378f1fa9b1Ssfencevma // Sometimes, we need to cancel the load-load forwarding. 7388f1fa9b1Ssfencevma // These can be put at S0 if timing is bad at S1. 7398f1fa9b1Ssfencevma // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow) 7408f1fa9b1Ssfencevma s1_addr_mismatch := s1_ptr_chasing_vaddr(6) || RegEnable(io.ldu_io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing) 7418f1fa9b1Ssfencevma // Case 1: the address is misaligned, kill s1 7428f1fa9b1Ssfencevma s1_addr_misaligned := LookupTree(s1_in.uop.fuOpType(1, 0), List( 7438f1fa9b1Ssfencevma "b00".U -> false.B, //b 7448f1fa9b1Ssfencevma "b01".U -> (s1_vaddr(0) =/= 0.U), //h 7458f1fa9b1Ssfencevma "b10".U -> (s1_vaddr(1, 0) =/= 0.U), //w 7468f1fa9b1Ssfencevma "b11".U -> (s1_vaddr(2, 0) =/= 0.U) //d 7478f1fa9b1Ssfencevma )) 7488f1fa9b1Ssfencevma // Case 2: this load-load uop is cancelled 749ade14125Ssfencevma s1_ptr_chasing_canceled := !io.lsin.valid || FuType.isStore(io.lsin.bits.uop.fuType) 7508f1fa9b1Ssfencevma 7518f1fa9b1Ssfencevma when (s1_try_ptr_chasing) { 7528f1fa9b1Ssfencevma s1_cancel_ptr_chasing := s1_addr_mismatch || s1_addr_misaligned || s1_ptr_chasing_canceled 7538f1fa9b1Ssfencevma 7548f1fa9b1Ssfencevma s1_in.uop := io.lsin.bits.uop 7556810d1e8Ssfencevma s1_in.rsIdx := io.lsin.bits.iqIdx 7566810d1e8Ssfencevma s1_in.isFirstIssue := io.lsin.bits.isFirstIssue 7578f1fa9b1Ssfencevma s1_vaddr_lo := s1_ptr_chasing_vaddr(5, 0) 7588f1fa9b1Ssfencevma s1_paddr_dup_lsu := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 7598f1fa9b1Ssfencevma s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo) 7608f1fa9b1Ssfencevma 7618f1fa9b1Ssfencevma // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb) 7628f1fa9b1Ssfencevma s1_in.uop.debugInfo.tlbFirstReqTime := GTimer() 7638f1fa9b1Ssfencevma s1_in.uop.debugInfo.tlbRespTime := GTimer() 7648f1fa9b1Ssfencevma } 7658f1fa9b1Ssfencevma when (!s1_cancel_ptr_chasing) { 7668f1fa9b1Ssfencevma s0_ptr_chasing_canceled := s1_try_ptr_chasing && !io.ldu_io.replay.fire && !io.ldu_io.fast_rep_in.fire 7678f1fa9b1Ssfencevma when (s1_try_ptr_chasing) { 7688f1fa9b1Ssfencevma io.lsin.ready := true.B 7698f1fa9b1Ssfencevma } 7708f1fa9b1Ssfencevma } 7718f1fa9b1Ssfencevma } 7728f1fa9b1Ssfencevma 7738f1fa9b1Ssfencevma // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding 7748f1fa9b1Ssfencevma val s1_sqIdx_mask = RegNext(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize)) 7758f1fa9b1Ssfencevma // to enable load-load, sqIdxMask must be calculated based on lsin.uop 7768f1fa9b1Ssfencevma // If the timing here is not OK, load-load forwarding has to be disabled. 7778f1fa9b1Ssfencevma // Or we calculate sqIdxMask at RS?? 7788f1fa9b1Ssfencevma io.ldu_io.lsq.forward.sqIdxMask := s1_sqIdx_mask 7798f1fa9b1Ssfencevma if (EnableLoadToLoadForward) { 7808f1fa9b1Ssfencevma when (s1_try_ptr_chasing) { 7818f1fa9b1Ssfencevma io.ldu_io.lsq.forward.sqIdxMask := UIntToMask(io.lsin.bits.uop.sqIdx.value, StoreQueueSize) 7828f1fa9b1Ssfencevma } 7838f1fa9b1Ssfencevma } 7848f1fa9b1Ssfencevma 7858f1fa9b1Ssfencevma io.ldu_io.forward_mshr.valid := s1_valid && s1_out.forward_tlDchannel && s1_ld_flow 7868f1fa9b1Ssfencevma io.ldu_io.forward_mshr.mshrid := s1_out.mshrid 7878f1fa9b1Ssfencevma io.ldu_io.forward_mshr.paddr := s1_out.paddr 7888f1fa9b1Ssfencevma 78946ba64e8Ssfencevma io.stu_io.dcache.s1_kill := s1_tlb_miss || s1_exception || s1_mmio || s1_in.uop.robIdx.needFlush(io.redirect) 79046ba64e8Ssfencevma io.stu_io.dcache.s1_paddr := s1_paddr_dup_dcache 79146ba64e8Ssfencevma 7928f1fa9b1Ssfencevma 7938f1fa9b1Ssfencevma // load debug 7948f1fa9b1Ssfencevma XSDebug(s1_valid && s1_ld_flow, 7958f1fa9b1Ssfencevma p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 7968f1fa9b1Ssfencevma p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n") 7978f1fa9b1Ssfencevma 7988f1fa9b1Ssfencevma // store debug 7998f1fa9b1Ssfencevma XSDebug(s1_valid && !s1_ld_flow, 8008f1fa9b1Ssfencevma p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.sqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 8018f1fa9b1Ssfencevma p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n") 8028f1fa9b1Ssfencevma 8038f1fa9b1Ssfencevma // store out 8046e39fcc5Szhanglinjuan io.stu_io.lsq.valid := s1_valid && !s1_ld_flow && !s1_prf && !s1_isvec 8058f1fa9b1Ssfencevma io.stu_io.lsq.bits := s1_out 8068f1fa9b1Ssfencevma io.stu_io.lsq.bits.miss := s1_tlb_miss 8078f1fa9b1Ssfencevma 8086e39fcc5Szhanglinjuan io.vec_stu_io.lsq.valid := s1_valid && !s1_ld_flow && !s1_prf && s1_isvec 8096e39fcc5Szhanglinjuan io.vec_stu_io.lsq.bits := s1_out 8106e39fcc5Szhanglinjuan io.vec_stu_io.lsq.bits.miss := s1_tlb_miss 81124c8b0e1Sweiding liu io.vec_stu_io.lsq.bits.isLastElem := s1_isLastElem 8126e39fcc5Szhanglinjuan 813ade14125Ssfencevma io.stu_io.st_mask_out.valid := s1_valid && !s1_ld_flow && !s1_prf 814ade14125Ssfencevma io.stu_io.st_mask_out.bits.mask := s1_out.mask 815ade14125Ssfencevma io.stu_io.st_mask_out.bits.sqIdx := s1_out.uop.sqIdx 816ade14125Ssfencevma 8176e39fcc5Szhanglinjuan io.stu_io.issue.valid := s1_valid && !s1_tlb_miss && !s1_ld_flow && !s1_prf && !s1_isvec 818d7739d95Ssfencevma io.stu_io.issue.bits := RegEnable(io.lsin.bits, io.lsin.fire) 819d7739d95Ssfencevma 8208f1fa9b1Ssfencevma // st-ld violation dectect request 821ade14125Ssfencevma io.stu_io.stld_nuke_query.valid := s1_valid && !s1_tlb_miss && !s1_ld_flow && !s1_prf 8228f1fa9b1Ssfencevma io.stu_io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx 8238f1fa9b1Ssfencevma io.stu_io.stld_nuke_query.bits.paddr := s1_paddr_dup_lsu 8248f1fa9b1Ssfencevma io.stu_io.stld_nuke_query.bits.mask := s1_in.mask 8258f1fa9b1Ssfencevma 8268f1fa9b1Ssfencevma // Pipeline 8278f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 8288f1fa9b1Ssfencevma // stage 2 8298f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 8308f1fa9b1Ssfencevma // s2: DCache resp 8318f1fa9b1Ssfencevma val s2_valid = RegInit(false.B) 8328f1fa9b1Ssfencevma val s2_in = Wire(new LqWriteBundle) 8338f1fa9b1Ssfencevma val s2_out = Wire(new LqWriteBundle) 8348f1fa9b1Ssfencevma val s2_kill = Wire(Bool()) 8358f1fa9b1Ssfencevma val s2_can_go = s3_ready 8368f1fa9b1Ssfencevma val s2_fire = s2_valid && !s2_kill && s2_can_go 8376e39fcc5Szhanglinjuan val s2_isvec = RegEnable(s1_isvec, false.B, s1_fire) 838e20747afSXuan Hu val s2_exp = RegEnable(s1_out.vecActive, true.B, s1_fire) 8396e39fcc5Szhanglinjuan val s2_paddr = RegEnable(s1_paddr_dup_lsu, s1_fire) 8408f1fa9b1Ssfencevma 8418f1fa9b1Ssfencevma s2_kill := s2_in.uop.robIdx.needFlush(io.redirect) 8428f1fa9b1Ssfencevma s2_ready := !s2_valid || s2_kill || s3_ready 8438f1fa9b1Ssfencevma when (s1_fire) { s2_valid := true.B } 8448f1fa9b1Ssfencevma .elsewhen (s2_fire) { s2_valid := false.B } 8458f1fa9b1Ssfencevma .elsewhen (s2_kill) { s2_valid := false.B } 8468f1fa9b1Ssfencevma s2_in := RegEnable(s1_out, s1_fire) 8478f1fa9b1Ssfencevma 8488f1fa9b1Ssfencevma val s2_pmp = WireInit(io.pmp) 8498f1fa9b1Ssfencevma 8508f1fa9b1Ssfencevma val s2_prf = s2_in.isPrefetch 8518f1fa9b1Ssfencevma val s2_hw_prf = s2_in.isHWPrefetch 8528f1fa9b1Ssfencevma val s2_ld_flow = RegEnable(s1_ld_flow, s1_fire) 8538f1fa9b1Ssfencevma 8548f1fa9b1Ssfencevma // exception that may cause load addr to be invalid / illegal 8558f1fa9b1Ssfencevma // if such exception happen, that inst and its exception info 8568f1fa9b1Ssfencevma // will be force writebacked to rob 8578f1fa9b1Ssfencevma val s2_exception_vec = WireInit(s2_in.uop.exceptionVec) 8588f1fa9b1Ssfencevma when (s2_ld_flow) { 8598f1fa9b1Ssfencevma when (!s2_in.lateKill) { 8606e39fcc5Szhanglinjuan s2_exception_vec(loadAccessFault) := (s2_in.uop.exceptionVec(loadAccessFault) || s2_pmp.ld) && s2_exp 8618f1fa9b1Ssfencevma // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered) 8628f1fa9b1Ssfencevma when (s2_prf || s2_in.tlbMiss) { 8638f1fa9b1Ssfencevma s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 8648f1fa9b1Ssfencevma } 8658f1fa9b1Ssfencevma } 8668f1fa9b1Ssfencevma } .otherwise { 8678f1fa9b1Ssfencevma s2_exception_vec(storeAccessFault) := s2_in.uop.exceptionVec(storeAccessFault) || s2_pmp.st 8688f1fa9b1Ssfencevma when (s2_prf || s2_in.tlbMiss) { 8698f1fa9b1Ssfencevma s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 8708f1fa9b1Ssfencevma } 8718f1fa9b1Ssfencevma } 8728f1fa9b1Ssfencevma val s2_ld_exception = ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR && s2_ld_flow 8738f1fa9b1Ssfencevma val s2_st_exception = ExceptionNO.selectByFu(s2_exception_vec, StaCfg).asUInt.orR && !s2_ld_flow 8748f1fa9b1Ssfencevma val s2_exception = s2_ld_exception || s2_st_exception 8758f1fa9b1Ssfencevma 8768f1fa9b1Ssfencevma val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan) = io.ldu_io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr) 8778f1fa9b1Ssfencevma val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr) = io.ldu_io.forward_mshr.forward() 8788f1fa9b1Ssfencevma val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr) 8798f1fa9b1Ssfencevma 8808f1fa9b1Ssfencevma // writeback access fault caused by ecc error / bus error 8818f1fa9b1Ssfencevma // * ecc data error is slow to generate, so we will not use it until load stage 3 8828f1fa9b1Ssfencevma // * in load stage 3, an extra signal io.load_error will be used to 8838f1fa9b1Ssfencevma val s2_actually_mmio = s2_pmp.mmio 884572dd7d6Ssfencevma val s2_ld_mmio = !s2_prf && 8858f1fa9b1Ssfencevma s2_actually_mmio && 8868f1fa9b1Ssfencevma !s2_exception && 887572dd7d6Ssfencevma !s2_in.tlbMiss && 888572dd7d6Ssfencevma s2_ld_flow 889572dd7d6Ssfencevma val s2_st_mmio = !s2_prf && 890572dd7d6Ssfencevma (RegNext(s1_mmio) || s2_pmp.mmio) && 891572dd7d6Ssfencevma !s2_exception && 892572dd7d6Ssfencevma !s2_in.tlbMiss && 893572dd7d6Ssfencevma !s2_ld_flow 894572dd7d6Ssfencevma val s2_st_atomic = !s2_prf && 895572dd7d6Ssfencevma (RegNext(s1_mmio) || s2_pmp.atomic) && 896572dd7d6Ssfencevma !s2_exception && 897572dd7d6Ssfencevma !s2_in.tlbMiss && 898572dd7d6Ssfencevma !s2_ld_flow 8998f1fa9b1Ssfencevma val s2_full_fwd = Wire(Bool()) 9008f1fa9b1Ssfencevma val s2_mem_amb = s2_in.uop.storeSetHit && 9018f1fa9b1Ssfencevma io.ldu_io.lsq.forward.addrInvalid 9028f1fa9b1Ssfencevma 9038f1fa9b1Ssfencevma val s2_tlb_miss = s2_in.tlbMiss 9046e39fcc5Szhanglinjuan val s2_fwd_fail = io.ldu_io.lsq.forward.dataInvalid || io.ldu_io.vec_forward.dataInvalid 90546ba64e8Ssfencevma val s2_dcache_miss = io.ldu_io.dcache.resp.bits.miss && 9068f1fa9b1Ssfencevma !s2_fwd_frm_d_chan_or_mshr && 9078f1fa9b1Ssfencevma !s2_full_fwd 9088f1fa9b1Ssfencevma 90946ba64e8Ssfencevma val s2_mq_nack = io.ldu_io.dcache.s2_mq_nack && 9108f1fa9b1Ssfencevma !s2_fwd_frm_d_chan_or_mshr && 9118f1fa9b1Ssfencevma !s2_full_fwd 9128f1fa9b1Ssfencevma 91346ba64e8Ssfencevma val s2_bank_conflict = io.ldu_io.dcache.s2_bank_conflict && 9148f1fa9b1Ssfencevma !s2_fwd_frm_d_chan_or_mshr && 9158f1fa9b1Ssfencevma !s2_full_fwd 9168f1fa9b1Ssfencevma 91746ba64e8Ssfencevma val s2_wpu_pred_fail = io.ldu_io.dcache.s2_wpu_pred_fail && 9188f1fa9b1Ssfencevma !s2_fwd_frm_d_chan_or_mshr && 9198f1fa9b1Ssfencevma !s2_full_fwd 9208f1fa9b1Ssfencevma 9218f1fa9b1Ssfencevma val s2_rar_nack = io.ldu_io.lsq.ldld_nuke_query.req.valid && 9228f1fa9b1Ssfencevma !io.ldu_io.lsq.ldld_nuke_query.req.ready 9238f1fa9b1Ssfencevma 9248f1fa9b1Ssfencevma val s2_raw_nack = io.ldu_io.lsq.stld_nuke_query.req.valid && 9258f1fa9b1Ssfencevma !io.ldu_io.lsq.stld_nuke_query.req.ready 9268f1fa9b1Ssfencevma 9278f1fa9b1Ssfencevma // st-ld violation query 9288f1fa9b1Ssfencevma // NeedFastRecovery Valid when 9298f1fa9b1Ssfencevma // 1. Fast recovery query request Valid. 9308f1fa9b1Ssfencevma // 2. Load instruction is younger than requestors(store instructions). 9318f1fa9b1Ssfencevma // 3. Physical address match. 9328f1fa9b1Ssfencevma // 4. Data contains. 9338f1fa9b1Ssfencevma val s2_nuke = VecInit((0 until StorePipelineWidth).map(w => { 9348f1fa9b1Ssfencevma io.ldu_io.stld_nuke_query(w).valid && // query valid 9358f1fa9b1Ssfencevma isAfter(s2_in.uop.robIdx, io.ldu_io.stld_nuke_query(w).bits.robIdx) && // older store 9368f1fa9b1Ssfencevma // TODO: Fix me when vector instruction 9378f1fa9b1Ssfencevma (s2_in.paddr(PAddrBits-1, 3) === io.ldu_io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3)) && // paddr match 9388f1fa9b1Ssfencevma (s2_in.mask & io.ldu_io.stld_nuke_query(w).bits.mask).orR // data mask contain 9398f1fa9b1Ssfencevma })).asUInt.orR && s2_ld_flow || s2_in.rep_info.nuke 9408f1fa9b1Ssfencevma 94146ba64e8Ssfencevma val s2_cache_handled = io.ldu_io.dcache.resp.bits.handled 9428f1fa9b1Ssfencevma val s2_cache_tag_error = RegNext(io.csrCtrl.cache_error_enable) && 94346ba64e8Ssfencevma io.ldu_io.dcache.resp.bits.tag_error 9448f1fa9b1Ssfencevma 9458f1fa9b1Ssfencevma val s2_troublem = !s2_exception && 946572dd7d6Ssfencevma !s2_ld_mmio && 9478f1fa9b1Ssfencevma !s2_prf && 9485f828726Ssfencevma !s2_in.lateKill && 9495f828726Ssfencevma s2_ld_flow 9508f1fa9b1Ssfencevma 95146ba64e8Ssfencevma io.ldu_io.dcache.resp.ready := true.B 95246ba64e8Ssfencevma io.stu_io.dcache.resp.ready := true.B 953572dd7d6Ssfencevma val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_ld_mmio || s2_prf || s2_in.lateKill) && s2_ld_flow 95446ba64e8Ssfencevma assert(!(s2_valid && (s2_dcache_should_resp && !io.ldu_io.dcache.resp.valid)), "DCache response got lost") 9558f1fa9b1Ssfencevma 9568f1fa9b1Ssfencevma // fast replay require 9578f1fa9b1Ssfencevma val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail)) 9588f1fa9b1Ssfencevma val s2_nuke_fast_rep = !s2_mq_nack && 9598f1fa9b1Ssfencevma !s2_dcache_miss && 9608f1fa9b1Ssfencevma !s2_bank_conflict && 9618f1fa9b1Ssfencevma !s2_wpu_pred_fail && 9628f1fa9b1Ssfencevma !s2_rar_nack && 9638f1fa9b1Ssfencevma !s2_raw_nack && 9648f1fa9b1Ssfencevma s2_nuke 9658f1fa9b1Ssfencevma 9668f1fa9b1Ssfencevma val s2_fast_rep = !s2_mem_amb && 9678f1fa9b1Ssfencevma !s2_tlb_miss && 9688f1fa9b1Ssfencevma !s2_fwd_fail && 9698f1fa9b1Ssfencevma (s2_dcache_fast_rep || s2_nuke_fast_rep) && 9708f1fa9b1Ssfencevma s2_troublem 9718f1fa9b1Ssfencevma 9728f1fa9b1Ssfencevma // need allocate new entry 9738f1fa9b1Ssfencevma val s2_can_query = !s2_mem_amb && 9748f1fa9b1Ssfencevma !s2_tlb_miss && 9758f1fa9b1Ssfencevma !s2_fwd_fail && 9768f1fa9b1Ssfencevma !s2_dcache_fast_rep && 9778f1fa9b1Ssfencevma s2_troublem 9788f1fa9b1Ssfencevma 9798f1fa9b1Ssfencevma val s2_data_fwded = s2_dcache_miss && (s2_full_fwd || s2_cache_tag_error) 9808f1fa9b1Ssfencevma 9818f1fa9b1Ssfencevma // ld-ld violation require 9828f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.req.valid := s2_valid && s2_can_query 9838f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.req.bits.uop := s2_in.uop 9848f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.req.bits.mask := s2_in.mask 9858f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.req.bits.paddr := s2_in.paddr 9868f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss) 9878f1fa9b1Ssfencevma 9888f1fa9b1Ssfencevma // st-ld violation require 9898f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.req.valid := s2_valid && s2_can_query 9908f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.req.bits.uop := s2_in.uop 9918f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.req.bits.mask := s2_in.mask 9928f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.req.bits.paddr := s2_in.paddr 9938f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss) 9948f1fa9b1Ssfencevma 9958f1fa9b1Ssfencevma // merge forward result 9968f1fa9b1Ssfencevma // lsq has higher priority than sbuffer 9978f1fa9b1Ssfencevma val s2_fwd_mask = Wire(Vec((VLEN/8), Bool())) 9988f1fa9b1Ssfencevma val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W))) 9996e39fcc5Szhanglinjuan s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.ldu_io.lsq.forward.dataInvalid && !io.ldu_io.vec_forward.dataInvalid 10008f1fa9b1Ssfencevma // generate XLEN/8 Muxs 10018f1fa9b1Ssfencevma for (i <- 0 until VLEN / 8) { 10026e39fcc5Szhanglinjuan s2_fwd_mask(i) := io.ldu_io.lsq.forward.forwardMask(i) || io.ldu_io.sbuffer.forwardMask(i) || io.ldu_io.vec_forward.forwardMask(i) 10036e39fcc5Szhanglinjuan s2_fwd_data(i) := Mux( 10046e39fcc5Szhanglinjuan io.ldu_io.lsq.forward.forwardMask(i), 10056e39fcc5Szhanglinjuan io.ldu_io.lsq.forward.forwardData(i), 10066e39fcc5Szhanglinjuan Mux( 10076e39fcc5Szhanglinjuan io.ldu_io.vec_forward.forwardMask(i), 10086e39fcc5Szhanglinjuan io.ldu_io.vec_forward.forwardData(i), 10096e39fcc5Szhanglinjuan io.ldu_io.sbuffer.forwardData(i) 10106e39fcc5Szhanglinjuan ) 10116e39fcc5Szhanglinjuan ) 10128f1fa9b1Ssfencevma } 10138f1fa9b1Ssfencevma 10148f1fa9b1Ssfencevma XSDebug(s2_fire && s2_ld_flow, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 10158f1fa9b1Ssfencevma s2_in.uop.pc, 10168f1fa9b1Ssfencevma io.ldu_io.lsq.forward.forwardData.asUInt, io.ldu_io.lsq.forward.forwardMask.asUInt, 10178f1fa9b1Ssfencevma s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt 10188f1fa9b1Ssfencevma ) 10198f1fa9b1Ssfencevma 10208f1fa9b1Ssfencevma // 10218f1fa9b1Ssfencevma s2_out := s2_in 10228f1fa9b1Ssfencevma s2_out.data := 0.U // data will be generated in load s3 10238f1fa9b1Ssfencevma s2_out.uop.fpWen := s2_in.uop.fpWen && !s2_exception && s2_ld_flow 1024572dd7d6Ssfencevma s2_out.mmio := s2_ld_mmio || s2_st_mmio 1025572dd7d6Ssfencevma s2_out.atomic := s2_st_atomic 10268f1fa9b1Ssfencevma s2_out.uop.flushPipe := false.B 10278f1fa9b1Ssfencevma s2_out.uop.exceptionVec := s2_exception_vec 10288f1fa9b1Ssfencevma s2_out.forwardMask := s2_fwd_mask 10298f1fa9b1Ssfencevma s2_out.forwardData := s2_fwd_data 10308f1fa9b1Ssfencevma s2_out.handledByMSHR := s2_cache_handled 10318f1fa9b1Ssfencevma s2_out.miss := s2_dcache_miss && s2_troublem 10325f828726Ssfencevma s2_out.feedbacked := io.feedback_fast.valid && !io.feedback_fast.bits.hit 10338f1fa9b1Ssfencevma 10348f1fa9b1Ssfencevma // Generate replay signal caused by: 10358f1fa9b1Ssfencevma // * st-ld violation check 10368f1fa9b1Ssfencevma // * tlb miss 10378f1fa9b1Ssfencevma // * dcache replay 10388f1fa9b1Ssfencevma // * forward data invalid 10398f1fa9b1Ssfencevma // * dcache miss 10408f1fa9b1Ssfencevma s2_out.rep_info.mem_amb := s2_mem_amb && s2_troublem 10418f1fa9b1Ssfencevma s2_out.rep_info.tlb_miss := s2_tlb_miss && s2_troublem 10428f1fa9b1Ssfencevma s2_out.rep_info.fwd_fail := s2_fwd_fail && s2_troublem 10438f1fa9b1Ssfencevma s2_out.rep_info.dcache_rep := s2_mq_nack && s2_troublem 10448f1fa9b1Ssfencevma s2_out.rep_info.dcache_miss := s2_dcache_miss && s2_troublem 10458f1fa9b1Ssfencevma s2_out.rep_info.bank_conflict := s2_bank_conflict && s2_troublem 10468f1fa9b1Ssfencevma s2_out.rep_info.wpu_fail := s2_wpu_pred_fail && s2_troublem 10478f1fa9b1Ssfencevma s2_out.rep_info.rar_nack := s2_rar_nack && s2_troublem 10488f1fa9b1Ssfencevma s2_out.rep_info.raw_nack := s2_raw_nack && s2_troublem 10498f1fa9b1Ssfencevma s2_out.rep_info.nuke := s2_nuke && s2_troublem 10508f1fa9b1Ssfencevma s2_out.rep_info.full_fwd := s2_data_fwded 10516e39fcc5Szhanglinjuan s2_out.rep_info.data_inv_sq_idx := Mux(io.ldu_io.vec_forward.dataInvalid, s2_out.uop.sqIdx, io.ldu_io.lsq.forward.dataInvalidSqIdx) 10526e39fcc5Szhanglinjuan s2_out.rep_info.addr_inv_sq_idx := Mux(io.ldu_io.vec_forward.addrInvalid, s2_out.uop.sqIdx, io.ldu_io.lsq.forward.addrInvalidSqIdx) 105346ba64e8Ssfencevma s2_out.rep_info.rep_carry := io.ldu_io.dcache.resp.bits.replayCarry 105446ba64e8Ssfencevma s2_out.rep_info.mshr_id := io.ldu_io.dcache.resp.bits.mshr_id 10558f1fa9b1Ssfencevma s2_out.rep_info.last_beat := s2_in.paddr(log2Up(refillBytes)) 10568f1fa9b1Ssfencevma s2_out.rep_info.debug := s2_in.uop.debugInfo 1057b52baf04SXuan Hu s2_out.rep_info.tlb_id := io.ldu_io.tlb_hint.id 1058b52baf04SXuan Hu s2_out.rep_info.tlb_full := io.ldu_io.tlb_hint.full 10598f1fa9b1Ssfencevma 10608f1fa9b1Ssfencevma // if forward fail, replay this inst from fetch 10618f1fa9b1Ssfencevma val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss 10628f1fa9b1Ssfencevma // if ld-ld violation is detected, replay from this inst from fetch 1063572dd7d6Ssfencevma val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_ld_mmio && !s2_is_prefetch && !s2_in.tlbMiss 10648f1fa9b1Ssfencevma // io.out.bits.uop.replayInst := false.B 10658f1fa9b1Ssfencevma 10668f1fa9b1Ssfencevma // to be removed 10678f1fa9b1Ssfencevma val s2_ld_need_fb = !s2_in.isLoadReplay && // already feedbacked 10688f1fa9b1Ssfencevma io.ldu_io.lq_rep_full && // LoadQueueReplay is full 10698f1fa9b1Ssfencevma s2_out.rep_info.need_rep && // need replay 10708f1fa9b1Ssfencevma !s2_exception && // no exception is triggered 10716e39fcc5Szhanglinjuan !s2_hw_prf && // not hardware prefetch 10726e39fcc5Szhanglinjuan !s2_isvec 10736e39fcc5Szhanglinjuan val s2_st_need_fb = !s2_ld_flow && !s2_hw_prf && !s2_isvec 10748f1fa9b1Ssfencevma io.feedback_fast.valid := s2_valid && (s2_ld_need_fb || s2_st_need_fb) 10755f828726Ssfencevma io.feedback_fast.bits.hit := Mux(s2_ld_flow, false.B, !s2_tlb_miss) 10768f1fa9b1Ssfencevma io.feedback_fast.bits.flushState := s2_in.ptwBack 10778f1fa9b1Ssfencevma io.feedback_fast.bits.robIdx := s2_in.uop.robIdx 10788f1fa9b1Ssfencevma io.feedback_fast.bits.sourceType := Mux(s2_ld_flow, RSFeedbackType.lrqFull, RSFeedbackType.tlbMiss) 10798f1fa9b1Ssfencevma io.feedback_fast.bits.dataInvalidSqIdx := DontCare 10808f1fa9b1Ssfencevma 10816e39fcc5Szhanglinjuan val s2_vec_feedback = Wire(Valid(new VSFQFeedback)) 10826e39fcc5Szhanglinjuan s2_vec_feedback.valid := s2_valid && !s2_ld_flow && !s2_hw_prf && s2_isvec 10836e39fcc5Szhanglinjuan s2_vec_feedback.bits.flowPtr := s2_out.sflowPtr 10846e39fcc5Szhanglinjuan s2_vec_feedback.bits.hit := !s2_tlb_miss 10856e39fcc5Szhanglinjuan s2_vec_feedback.bits.sourceType := RSFeedbackType.tlbMiss 10866e39fcc5Szhanglinjuan s2_vec_feedback.bits.paddr := s2_paddr 108795767918Szhanglinjuan s2_vec_feedback.bits.mmio := s2_st_mmio 108895767918Szhanglinjuan s2_vec_feedback.bits.atomic := s2_st_mmio 1089*31c51290Szhanglinjuan s2_vec_feedback.bits.exceptionVec := s2_exception_vec 10906e39fcc5Szhanglinjuan 10910b43690dSsfencevma io.stu_io.lsq_replenish := s2_out 109246ba64e8Ssfencevma io.stu_io.lsq_replenish.miss := io.ldu_io.dcache.resp.fire && io.ldu_io.dcache.resp.bits.miss 10930b43690dSsfencevma 1094255c8c14SsinceforYy io.ldu_io.ldCancel.ld1Cancel.valid := s2_valid && s2_ld_flow && s2_out.isFirstIssue && (// issued from IQ 1095255c8c14SsinceforYy s2_out.rep_info.need_rep || s2_ld_mmio // exe fail or is mmio 1096255c8c14SsinceforYy ) 10978f1fa9b1Ssfencevma io.ldu_io.ldCancel.ld1Cancel.bits := s2_out.deqPortIdx 10988f1fa9b1Ssfencevma 10998f1fa9b1Ssfencevma // fast wakeup 11008f1fa9b1Ssfencevma io.ldu_io.fast_uop.valid := RegNext( 110146ba64e8Ssfencevma !io.ldu_io.dcache.s1_disable_fast_wakeup && 11028f1fa9b1Ssfencevma s1_valid && 11038f1fa9b1Ssfencevma !s1_kill && 11048f1fa9b1Ssfencevma !io.tlb.resp.bits.miss && 11058f1fa9b1Ssfencevma !io.ldu_io.lsq.forward.dataInvalidFast 11066e39fcc5Szhanglinjuan ) && (s2_valid && !s2_out.rep_info.need_rep && !s2_ld_mmio && s2_ld_flow) && !s2_isvec 11078f1fa9b1Ssfencevma io.ldu_io.fast_uop.bits := RegNext(s1_out.uop) 11088f1fa9b1Ssfencevma 11098f1fa9b1Ssfencevma // 11108f1fa9b1Ssfencevma io.ldu_io.s2_ptr_chasing := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire) 11118f1fa9b1Ssfencevma 11128f1fa9b1Ssfencevma // prefetch train 11136810d1e8Ssfencevma io.prefetch_train.valid := s2_valid && !s2_actually_mmio && !s2_in.tlbMiss 11146810d1e8Ssfencevma io.prefetch_train.bits.fromLsPipelineBundle(s2_in) 111546ba64e8Ssfencevma io.prefetch_train.bits.miss := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.miss, io.stu_io.dcache.resp.bits.miss) // TODO: use trace with bank conflict? 111646ba64e8Ssfencevma io.prefetch_train.bits.meta_prefetch := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.meta_prefetch, false.B) 111746ba64e8Ssfencevma io.prefetch_train.bits.meta_access := Mux(s2_ld_flow, io.ldu_io.dcache.resp.bits.meta_access, false.B) 11188f1fa9b1Ssfencevma 111946ba64e8Ssfencevma io.prefetch_train_l1.valid := s2_valid && !s2_actually_mmio && s2_ld_flow 11206810d1e8Ssfencevma io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in) 112146ba64e8Ssfencevma io.prefetch_train_l1.bits.miss := io.ldu_io.dcache.resp.bits.miss 112246ba64e8Ssfencevma io.prefetch_train_l1.bits.meta_prefetch := io.ldu_io.dcache.resp.bits.meta_prefetch 112346ba64e8Ssfencevma io.prefetch_train_l1.bits.meta_access := io.ldu_io.dcache.resp.bits.meta_access 11248f1fa9b1Ssfencevma if (env.FPGAPlatform){ 112546ba64e8Ssfencevma io.ldu_io.dcache.s0_pc := DontCare 112646ba64e8Ssfencevma io.ldu_io.dcache.s1_pc := DontCare 112746ba64e8Ssfencevma io.ldu_io.dcache.s2_pc := DontCare 11288f1fa9b1Ssfencevma }else{ 112946ba64e8Ssfencevma io.ldu_io.dcache.s0_pc := s0_out.uop.pc 113046ba64e8Ssfencevma io.ldu_io.dcache.s1_pc := s1_out.uop.pc 113146ba64e8Ssfencevma io.ldu_io.dcache.s2_pc := s2_out.uop.pc 11328f1fa9b1Ssfencevma } 113346ba64e8Ssfencevma io.ldu_io.dcache.s2_kill := s2_pmp.ld || s2_actually_mmio || s2_kill 113446ba64e8Ssfencevma io.stu_io.dcache.s2_kill := s2_pmp.st || s2_actually_mmio || s2_kill 113546ba64e8Ssfencevma io.stu_io.dcache.s2_pc := s2_out.uop.pc 11368f1fa9b1Ssfencevma 11378f1fa9b1Ssfencevma val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready && s1_ld_flow 11388f1fa9b1Ssfencevma val s2_ld_valid_dup = RegInit(0.U(6.W)) 11398f1fa9b1Ssfencevma s2_ld_valid_dup := 0x0.U(6.W) 114046ba64e8Ssfencevma when (s1_ld_left_fire && !s1_out.isHWPrefetch && s1_ld_flow) { s2_ld_valid_dup := 0x3f.U(6.W) } 114146ba64e8Ssfencevma when (s1_kill || s1_out.isHWPrefetch || !s1_ld_flow) { s2_ld_valid_dup := 0x0.U(6.W) } 114246ba64e8Ssfencevma assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch) || RegNext(!s1_ld_flow))) 11438f1fa9b1Ssfencevma 11448f1fa9b1Ssfencevma // Pipeline 11458f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 11468f1fa9b1Ssfencevma // stage 3 11478f1fa9b1Ssfencevma // -------------------------------------------------------------------------------- 11488f1fa9b1Ssfencevma // writeback and update load queue 11498f1fa9b1Ssfencevma val s3_valid = RegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect)) 11508f1fa9b1Ssfencevma val s3_in = RegEnable(s2_out, s2_fire) 11518f1fa9b1Ssfencevma val s3_out = Wire(Valid(new MemExuOutput)) 11528f1fa9b1Ssfencevma val s3_dcache_rep = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire) 11538f1fa9b1Ssfencevma val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire) 11548f1fa9b1Ssfencevma val s3_fast_rep = Wire(Bool()) 11558f1fa9b1Ssfencevma val s3_ld_flow = RegNext(s2_ld_flow) 11568f1fa9b1Ssfencevma val s3_troublem = RegNext(s2_troublem) 11578f1fa9b1Ssfencevma val s3_kill = s3_in.uop.robIdx.needFlush(io.redirect) 11586e39fcc5Szhanglinjuan val s3_isvec = RegNext(s2_isvec) 11598f1fa9b1Ssfencevma s3_ready := !s3_valid || s3_kill || sx_can_go 11608f1fa9b1Ssfencevma 11618f1fa9b1Ssfencevma // forwrad last beat 11628f1fa9b1Ssfencevma val (s3_fwd_frm_d_chan, s3_fwd_data_frm_d_chan) = io.ldu_io.tl_d_channel.forward(s2_valid && s2_out.forward_tlDchannel, s2_out.mshrid, s2_out.paddr) 11638f1fa9b1Ssfencevma val s3_fwd_data_valid = RegEnable(s2_fwd_data_valid, false.B, s2_valid) 116475495d4fSsfencevma val s3_fwd_frm_d_chan_valid = (s3_fwd_frm_d_chan && s3_fwd_data_valid) && s3_ld_flow 11658f1fa9b1Ssfencevma 11668f1fa9b1Ssfencevma 11678f1fa9b1Ssfencevma // s3 load fast replay 11688f1fa9b1Ssfencevma io.ldu_io.fast_rep_out.valid := s3_valid && 11698f1fa9b1Ssfencevma s3_fast_rep && 11708f1fa9b1Ssfencevma !s3_in.uop.robIdx.needFlush(io.redirect) && 11716e39fcc5Szhanglinjuan s3_ld_flow && 11726e39fcc5Szhanglinjuan !s3_isvec 11738f1fa9b1Ssfencevma io.ldu_io.fast_rep_out.bits := s3_in 11748f1fa9b1Ssfencevma 11758f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.valid := s3_valid && 11768f1fa9b1Ssfencevma (!s3_fast_rep || !io.ldu_io.fast_rep_out.ready) && 11778f1fa9b1Ssfencevma !s3_in.feedbacked && 11788f1fa9b1Ssfencevma !s3_in.lateKill && 117995767918Szhanglinjuan s3_ld_flow 11808f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits := s3_in 11818f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.miss := s3_in.miss && !s3_fwd_frm_d_chan_valid 11828f1fa9b1Ssfencevma 11838f1fa9b1Ssfencevma /* <------- DANGEROUS: Don't change sequence here ! -------> */ 11848f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools 118546ba64e8Ssfencevma io.ldu_io.lsq.ldin.bits.replacementUpdated := io.ldu_io.dcache.resp.bits.replacementUpdated 11868f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.missDbUpdated := RegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated) 11878f1fa9b1Ssfencevma 11888f1fa9b1Ssfencevma val s3_dly_ld_err = 11898f1fa9b1Ssfencevma if (EnableAccurateLoadError) { 119046ba64e8Ssfencevma (s3_in.lateKill || io.ldu_io.dcache.resp.bits.error_delayed) && RegNext(io.csrCtrl.cache_error_enable) 11918f1fa9b1Ssfencevma } else { 11928f1fa9b1Ssfencevma WireInit(false.B) 11938f1fa9b1Ssfencevma } 11948f1fa9b1Ssfencevma io.ldu_io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid 11958f1fa9b1Ssfencevma io.ldu_io.fast_rep_out.bits.delayedLoadError := s3_dly_ld_err 11968f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.dcacheRequireReplay := s3_dcache_rep 11978f1fa9b1Ssfencevma 11988f1fa9b1Ssfencevma val s3_vp_match_fail = RegNext(io.ldu_io.lsq.forward.matchInvalid || io.ldu_io.sbuffer.matchInvalid) && s3_troublem 11998f1fa9b1Ssfencevma val s3_ldld_rep_inst = 12008f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.resp.valid && 12018f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch && 12028f1fa9b1Ssfencevma RegNext(io.csrCtrl.ldld_vio_check_enable) 12038f1fa9b1Ssfencevma 12048f1fa9b1Ssfencevma val s3_rep_info = WireInit(s3_in.rep_info) 12058f1fa9b1Ssfencevma s3_rep_info.dcache_miss := s3_in.rep_info.dcache_miss && !s3_fwd_frm_d_chan_valid && s3_troublem 1206c8a344d0Ssfencevma val s3_rep_frm_fetch = s3_vp_match_fail 1207c8a344d0Ssfencevma val s3_flushPipe = s3_ldld_rep_inst 12088f1fa9b1Ssfencevma val s3_sel_rep_cause = PriorityEncoderOH(s3_rep_info.cause.asUInt) 12098f1fa9b1Ssfencevma val s3_force_rep = s3_sel_rep_cause(LoadReplayCauses.C_TM) && 12108f1fa9b1Ssfencevma !s3_in.uop.exceptionVec(loadAddrMisaligned) && 12118f1fa9b1Ssfencevma s3_troublem 12128f1fa9b1Ssfencevma 12138f1fa9b1Ssfencevma val s3_ld_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, LduCfg).asUInt.orR && s3_ld_flow 12148f1fa9b1Ssfencevma val s3_st_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, StaCfg).asUInt.orR && !s3_ld_flow 12158f1fa9b1Ssfencevma val s3_exception = s3_ld_exception || s3_st_exception 12168f1fa9b1Ssfencevma when ((s3_ld_exception || s3_dly_ld_err || s3_rep_frm_fetch) && !s3_force_rep) { 12178f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.rep_info.cause := 0.U.asTypeOf(s3_rep_info.cause.cloneType) 12188f1fa9b1Ssfencevma } .otherwise { 12198f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.rep_info.cause := VecInit(s3_sel_rep_cause.asBools) 12208f1fa9b1Ssfencevma } 12218f1fa9b1Ssfencevma 12228f1fa9b1Ssfencevma // Int flow, if hit, will be writebacked at s3 12238f1fa9b1Ssfencevma s3_out.valid := s3_valid && 1224572dd7d6Ssfencevma (!s3_ld_flow && !s3_in.feedbacked || !io.ldu_io.lsq.ldin.bits.rep_info.need_rep) && !s3_in.mmio 12258f1fa9b1Ssfencevma s3_out.bits.uop := s3_in.uop 1226c1254d7eSsfencevma s3_out.bits.uop.exceptionVec(loadAccessFault) := (s3_dly_ld_err || s3_in.uop.exceptionVec(loadAccessFault)) && s3_ld_flow 12278f1fa9b1Ssfencevma s3_out.bits.uop.replayInst := s3_rep_frm_fetch 12288f1fa9b1Ssfencevma s3_out.bits.data := s3_in.data 12298f1fa9b1Ssfencevma s3_out.bits.debug.isMMIO := s3_in.mmio 12308f1fa9b1Ssfencevma s3_out.bits.debug.isPerfCnt := false.B 12318f1fa9b1Ssfencevma s3_out.bits.debug.paddr := s3_in.paddr 12328f1fa9b1Ssfencevma s3_out.bits.debug.vaddr := s3_in.vaddr 12338f1fa9b1Ssfencevma 12348f1fa9b1Ssfencevma when (s3_force_rep) { 12358f1fa9b1Ssfencevma s3_out.bits.uop.exceptionVec := 0.U.asTypeOf(s3_in.uop.exceptionVec.cloneType) 12368f1fa9b1Ssfencevma } 12378f1fa9b1Ssfencevma 1238c8a344d0Ssfencevma io.ldu_io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe) && !s3_exception && s3_ld_flow 1239c8a344d0Ssfencevma io.ldu_io.rollback.bits := DontCare 1240c8a344d0Ssfencevma io.ldu_io.rollback.bits.isRVC := s3_out.bits.uop.preDecodeInfo.isRVC 1241c8a344d0Ssfencevma io.ldu_io.rollback.bits.robIdx := s3_out.bits.uop.robIdx 1242c8a344d0Ssfencevma io.ldu_io.rollback.bits.ftqIdx := s3_out.bits.uop.ftqPtr 1243c8a344d0Ssfencevma io.ldu_io.rollback.bits.ftqOffset := s3_out.bits.uop.ftqOffset 1244c8a344d0Ssfencevma io.ldu_io.rollback.bits.level := Mux(s3_rep_frm_fetch, RedirectLevel.flush, RedirectLevel.flushAfter) 1245c8a344d0Ssfencevma io.ldu_io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc 1246c8a344d0Ssfencevma io.ldu_io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id 12478f1fa9b1Ssfencevma /* <------- DANGEROUS: Don't change sequence here ! -------> */ 12488f1fa9b1Ssfencevma io.ldu_io.lsq.ldin.bits.uop := s3_out.bits.uop 12498f1fa9b1Ssfencevma 12508f1fa9b1Ssfencevma val s3_revoke = s3_exception || io.ldu_io.lsq.ldin.bits.rep_info.need_rep 12518f1fa9b1Ssfencevma io.ldu_io.lsq.ldld_nuke_query.revoke := s3_revoke 12528f1fa9b1Ssfencevma io.ldu_io.lsq.stld_nuke_query.revoke := s3_revoke 12538f1fa9b1Ssfencevma 12548f1fa9b1Ssfencevma // feedback slow 12558f1fa9b1Ssfencevma s3_fast_rep := RegNext(s2_fast_rep) && 12568f1fa9b1Ssfencevma !s3_in.feedbacked && 12578f1fa9b1Ssfencevma !s3_in.lateKill && 12588f1fa9b1Ssfencevma !s3_rep_frm_fetch && 12598f1fa9b1Ssfencevma !s3_exception 12608f1fa9b1Ssfencevma 12618f1fa9b1Ssfencevma val s3_fb_no_waiting = !s3_in.isLoadReplay && !(s3_fast_rep && io.ldu_io.fast_rep_out.ready) && !s3_in.feedbacked 12628f1fa9b1Ssfencevma 12638f1fa9b1Ssfencevma // 12648f1fa9b1Ssfencevma io.feedback_slow.valid := s3_valid && !s3_in.uop.robIdx.needFlush(io.redirect) && s3_fb_no_waiting && s3_ld_flow 12658f1fa9b1Ssfencevma io.feedback_slow.bits.hit := !io.ldu_io.lsq.ldin.bits.rep_info.need_rep || io.ldu_io.lsq.ldin.ready 12668f1fa9b1Ssfencevma io.feedback_slow.bits.flushState := s3_in.ptwBack 12678f1fa9b1Ssfencevma io.feedback_slow.bits.robIdx := s3_in.uop.robIdx 12688f1fa9b1Ssfencevma io.feedback_slow.bits.sourceType := RSFeedbackType.lrqFull 12698f1fa9b1Ssfencevma io.feedback_slow.bits.dataInvalidSqIdx := DontCare 12708f1fa9b1Ssfencevma 12716e39fcc5Szhanglinjuan io.vec_stu_io.feedbackSlow.valid := RegNext(s2_vec_feedback.valid && !s2_out.uop.robIdx.needFlush(io.redirect)) 12726e39fcc5Szhanglinjuan io.vec_stu_io.feedbackSlow.bits := RegNext(s2_vec_feedback.bits) 12736e39fcc5Szhanglinjuan 1274255c8c14SsinceforYy io.ldu_io.ldCancel.ld2Cancel.valid := s3_valid && s3_ld_flow && s3_in.isFirstIssue && ( // issued from IQ and is load 1275255c8c14SsinceforYy io.ldu_io.lsq.ldin.bits.rep_info.need_rep || s3_in.mmio // exe fail or is mmio 1276255c8c14SsinceforYy ) 12778f1fa9b1Ssfencevma io.ldu_io.ldCancel.ld2Cancel.bits := s3_in.deqPortIdx 12788f1fa9b1Ssfencevma 12798f1fa9b1Ssfencevma // data from dcache hit 12808f1fa9b1Ssfencevma val s3_ld_raw_data_frm_cache = Wire(new LoadDataFromDcacheBundle) 128146ba64e8Ssfencevma s3_ld_raw_data_frm_cache.respDcacheData := io.ldu_io.dcache.resp.bits.data_delayed 12828f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forwardMask := RegEnable(s2_fwd_mask, s2_valid) 12838f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forwardData := RegEnable(s2_fwd_data, s2_valid) 12848f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.uop := RegEnable(s2_out.uop, s2_valid) 12858f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.addrOffset := RegEnable(s2_out.paddr(3, 0), s2_valid) 12868f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forward_D := RegEnable(s2_fwd_frm_d_chan, false.B, s2_valid) || s3_fwd_frm_d_chan_valid 12878f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forwardData_D := Mux(s3_fwd_frm_d_chan_valid, s3_fwd_data_frm_d_chan, RegEnable(s2_fwd_data_frm_d_chan, s2_valid)) 12888f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forward_mshr := RegEnable(s2_fwd_frm_mshr, false.B, s2_valid) 12898f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forwardData_mshr := RegEnable(s2_fwd_data_frm_mshr, s2_valid) 12908f1fa9b1Ssfencevma s3_ld_raw_data_frm_cache.forward_result_valid := RegEnable(s2_fwd_data_valid, false.B, s2_valid) 12918f1fa9b1Ssfencevma 12928f1fa9b1Ssfencevma val s3_merged_data_frm_cache = s3_ld_raw_data_frm_cache.mergedData() 12938f1fa9b1Ssfencevma val s3_picked_data_frm_cache = LookupTree(s3_ld_raw_data_frm_cache.addrOffset, List( 12948f1fa9b1Ssfencevma "b0000".U -> s3_merged_data_frm_cache(63, 0), 12958f1fa9b1Ssfencevma "b0001".U -> s3_merged_data_frm_cache(63, 8), 12968f1fa9b1Ssfencevma "b0010".U -> s3_merged_data_frm_cache(63, 16), 12978f1fa9b1Ssfencevma "b0011".U -> s3_merged_data_frm_cache(63, 24), 12988f1fa9b1Ssfencevma "b0100".U -> s3_merged_data_frm_cache(63, 32), 12998f1fa9b1Ssfencevma "b0101".U -> s3_merged_data_frm_cache(63, 40), 13008f1fa9b1Ssfencevma "b0110".U -> s3_merged_data_frm_cache(63, 48), 13018f1fa9b1Ssfencevma "b0111".U -> s3_merged_data_frm_cache(63, 56), 13028f1fa9b1Ssfencevma "b1000".U -> s3_merged_data_frm_cache(127, 64), 13038f1fa9b1Ssfencevma "b1001".U -> s3_merged_data_frm_cache(127, 72), 13048f1fa9b1Ssfencevma "b1010".U -> s3_merged_data_frm_cache(127, 80), 13058f1fa9b1Ssfencevma "b1011".U -> s3_merged_data_frm_cache(127, 88), 13068f1fa9b1Ssfencevma "b1100".U -> s3_merged_data_frm_cache(127, 96), 13078f1fa9b1Ssfencevma "b1101".U -> s3_merged_data_frm_cache(127, 104), 13088f1fa9b1Ssfencevma "b1110".U -> s3_merged_data_frm_cache(127, 112), 13098f1fa9b1Ssfencevma "b1111".U -> s3_merged_data_frm_cache(127, 120) 13108f1fa9b1Ssfencevma )) 13118f1fa9b1Ssfencevma val s3_ld_data_frm_cache = rdataHelper(s3_ld_raw_data_frm_cache.uop, s3_picked_data_frm_cache) 13128f1fa9b1Ssfencevma 13138f1fa9b1Ssfencevma // FIXME: add 1 cycle delay ? 1314c1254d7eSsfencevma io.ldout.bits := s3_out.bits 1315c1254d7eSsfencevma io.ldout.bits.data := s3_ld_data_frm_cache 13166e39fcc5Szhanglinjuan io.ldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_ld_flow && !s3_isvec 13178f1fa9b1Ssfencevma 1318d7739d95Ssfencevma // for uncache 1319d7739d95Ssfencevma io.ldu_io.lsq.uncache.ready := true.B 1320d7739d95Ssfencevma 13218f1fa9b1Ssfencevma // fast load to load forward 1322b9f2b575SXuan Hu if (EnableLoadToLoadForward) { 13238f1fa9b1Ssfencevma io.ldu_io.l2l_fwd_out.valid := s3_out.valid && !s3_in.lateKill && s3_ld_flow 13248f1fa9b1Ssfencevma io.ldu_io.l2l_fwd_out.data := s3_ld_data_frm_cache 13258f1fa9b1Ssfencevma io.ldu_io.l2l_fwd_out.dly_ld_err := s3_dly_ld_err // ecc delayed error 1326b9f2b575SXuan Hu } else { 1327b9f2b575SXuan Hu io.ldu_io.l2l_fwd_out.valid := false.B 1328b9f2b575SXuan Hu io.ldu_io.l2l_fwd_out.data := DontCare 1329b9f2b575SXuan Hu io.ldu_io.l2l_fwd_out.dly_ld_err := DontCare 1330b9f2b575SXuan Hu } 13318f1fa9b1Ssfencevma 13328f1fa9b1Ssfencevma // hybrid unit writeback to rob 13338f1fa9b1Ssfencevma // delay params 13348f1fa9b1Ssfencevma val SelectGroupSize = RollbackGroupSize 13358f1fa9b1Ssfencevma val lgSelectGroupSize = log2Ceil(SelectGroupSize) 13368f1fa9b1Ssfencevma val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1 13378f1fa9b1Ssfencevma val TotalDelayCycles = TotalSelectCycles - 2 13388f1fa9b1Ssfencevma 13398f1fa9b1Ssfencevma // writeback 13408f1fa9b1Ssfencevma val sx_valid = Wire(Vec(TotalDelayCycles + 1, Bool())) 13418f1fa9b1Ssfencevma val sx_ready = Wire(Vec(TotalDelayCycles + 1, Bool())) 13428f1fa9b1Ssfencevma val sx_in = Wire(Vec(TotalDelayCycles + 1, new MemExuOutput)) 13438f1fa9b1Ssfencevma 13448f1fa9b1Ssfencevma sx_can_go := sx_ready.head 13458f1fa9b1Ssfencevma for (i <- 0 until TotalDelayCycles + 1) { 13468f1fa9b1Ssfencevma if (i == 0) { 1347572dd7d6Ssfencevma sx_valid(i) := s3_valid && 1348572dd7d6Ssfencevma !s3_ld_flow && 1349572dd7d6Ssfencevma !s3_in.feedbacked && 1350572dd7d6Ssfencevma !s3_in.mmio 13518f1fa9b1Ssfencevma sx_in(i) := s3_out.bits 1352c1254d7eSsfencevma sx_ready(i) := !s3_valid(i) || sx_in(i).uop.robIdx.needFlush(io.redirect) || (if (TotalDelayCycles == 0) io.stout.ready else sx_ready(i+1)) 13538f1fa9b1Ssfencevma } else { 13548f1fa9b1Ssfencevma val cur_kill = sx_in(i).uop.robIdx.needFlush(io.redirect) 1355c1254d7eSsfencevma val cur_can_go = (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1)) 13568f1fa9b1Ssfencevma val cur_fire = sx_valid(i) && !cur_kill && cur_can_go 13578f1fa9b1Ssfencevma val prev_fire = sx_valid(i-1) && !sx_in(i-1).uop.robIdx.needFlush(io.redirect) && sx_ready(i) 13588f1fa9b1Ssfencevma 1359c1254d7eSsfencevma sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1)) 13608f1fa9b1Ssfencevma val sx_valid_can_go = prev_fire || cur_fire || cur_kill 13618f1fa9b1Ssfencevma sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), sx_valid_can_go) 13628f1fa9b1Ssfencevma sx_in(i) := RegEnable(sx_in(i-1), prev_fire) 13638f1fa9b1Ssfencevma } 13648f1fa9b1Ssfencevma } 13658f1fa9b1Ssfencevma 13668f1fa9b1Ssfencevma val sx_last_valid = sx_valid.takeRight(1).head 13678f1fa9b1Ssfencevma val sx_last_ready = sx_ready.takeRight(1).head 13688f1fa9b1Ssfencevma val sx_last_in = sx_in.takeRight(1).head 13698f1fa9b1Ssfencevma 1370c1254d7eSsfencevma sx_last_ready := !sx_last_valid || sx_last_in.uop.robIdx.needFlush(io.redirect) || io.stout.ready 13716e39fcc5Szhanglinjuan io.stout.valid := sx_last_valid && !sx_last_in.uop.robIdx.needFlush(io.redirect) && FuType.isStore(sx_last_in.uop.fuType) 1372c1254d7eSsfencevma io.stout.bits := sx_last_in 13738f1fa9b1Ssfencevma 13748f1fa9b1Ssfencevma // trigger 13755f828726Ssfencevma val ld_trigger = FuType.isLoad(io.ldout.bits.uop.fuType) 13765f828726Ssfencevma val last_valid_data = RegEnable(io.ldout.bits.data, io.stout.fire) 1377f7af4c74Schengguanghui val hit_ld_addr_trig_hit_vec = Wire(Vec(TriggerNum, Bool())) 13788f1fa9b1Ssfencevma val lq_ld_addr_trig_hit_vec = RegNext(io.ldu_io.lsq.trigger.lqLoadAddrTriggerHitVec) 1379f7af4c74Schengguanghui (0 until TriggerNum).map{i => { 13808f1fa9b1Ssfencevma val tdata2 = RegNext(RegNext(io.ldu_io.trigger(i).tdata2)) 13818f1fa9b1Ssfencevma val matchType = RegNext(RegNext(io.ldu_io.trigger(i).matchType)) 13828f1fa9b1Ssfencevma val tEnable = RegNext(RegNext(io.ldu_io.trigger(i).tEnable)) 13838f1fa9b1Ssfencevma 13848f1fa9b1Ssfencevma hit_ld_addr_trig_hit_vec(i) := TriggerCmp(RegNext(s3_in.vaddr), tdata2, matchType, tEnable) 13855f828726Ssfencevma io.ldu_io.trigger(i).addrHit := Mux(io.ldout.valid && ld_trigger, hit_ld_addr_trig_hit_vec(i), lq_ld_addr_trig_hit_vec(i)) 13868f1fa9b1Ssfencevma io.ldu_io.trigger(i).lastDataHit := TriggerCmp(last_valid_data, tdata2, matchType, tEnable) 13878f1fa9b1Ssfencevma }} 13888f1fa9b1Ssfencevma io.ldu_io.lsq.trigger.hitLoadAddrTriggerHitVec := hit_ld_addr_trig_hit_vec 13898f1fa9b1Ssfencevma 13908f1fa9b1Ssfencevma // FIXME: please move this part to LoadQueueReplay 13918f1fa9b1Ssfencevma io.ldu_io.debug_ls := DontCare 13920b43690dSsfencevma io.stu_io.debug_ls := DontCare 13930b43690dSsfencevma io.stu_io.debug_ls.s1.isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch && !s1_ld_flow 13940b43690dSsfencevma io.stu_io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value 13958f1fa9b1Ssfencevma 13968f1fa9b1Ssfencevma // Topdown 13978f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s1.robIdx := s1_in.uop.robIdx.value 13988f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s1.vaddr_valid := s1_valid && s1_in.hasROBEntry 13998f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s1.vaddr_bits := s1_vaddr 14008f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s2.robIdx := s2_in.uop.robIdx.value 14018f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s2.paddr_valid := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss 14028f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s2.paddr_bits := s2_in.paddr 140346ba64e8Ssfencevma io.ldu_io.lsTopdownInfo.s2.first_real_miss := io.ldu_io.dcache.resp.bits.real_miss 14048f1fa9b1Ssfencevma io.ldu_io.lsTopdownInfo.s2.cache_miss_en := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated 14058f1fa9b1Ssfencevma 14068f1fa9b1Ssfencevma // perf cnt 14078f1fa9b1Ssfencevma XSPerfAccumulate("s0_in_valid", io.lsin.valid) 14088f1fa9b1Ssfencevma XSPerfAccumulate("s0_in_block", io.lsin.valid && !io.lsin.fire) 14098f1fa9b1Ssfencevma XSPerfAccumulate("s0_in_fire_first_issue", s0_valid && s0_isFirstIssue) 14108f1fa9b1Ssfencevma XSPerfAccumulate("s0_lsq_fire_first_issue", io.ldu_io.replay.fire) 14118f1fa9b1Ssfencevma XSPerfAccumulate("s0_ldu_fire_first_issue", io.lsin.fire && s0_isFirstIssue) 14128f1fa9b1Ssfencevma XSPerfAccumulate("s0_fast_replay_issue", io.ldu_io.fast_rep_in.fire) 14138f1fa9b1Ssfencevma XSPerfAccumulate("s0_stall_out", s0_valid && !s0_can_go) 141446ba64e8Ssfencevma XSPerfAccumulate("s0_stall_ld_dcache", s0_valid && !io.ldu_io.dcache.req.ready) 141546ba64e8Ssfencevma XSPerfAccumulate("s0_stall_st_dcache", s0_valid && !io.stu_io.dcache.req.ready) 14168f1fa9b1Ssfencevma XSPerfAccumulate("s0_addr_spec_success", s0_fire && s0_vaddr(VAddrBits-1, 12) === io.lsin.bits.src(0)(VAddrBits-1, 12)) 14178f1fa9b1Ssfencevma XSPerfAccumulate("s0_addr_spec_failed", s0_fire && s0_vaddr(VAddrBits-1, 12) =/= io.lsin.bits.src(0)(VAddrBits-1, 12)) 14188f1fa9b1Ssfencevma XSPerfAccumulate("s0_addr_spec_success_once", s0_fire && s0_vaddr(VAddrBits-1, 12) === io.lsin.bits.src(0)(VAddrBits-1, 12) && s0_isFirstIssue) 14198f1fa9b1Ssfencevma XSPerfAccumulate("s0_addr_spec_failed_once", s0_fire && s0_vaddr(VAddrBits-1, 12) =/= io.lsin.bits.src(0)(VAddrBits-1, 12) && s0_isFirstIssue) 14208f1fa9b1Ssfencevma XSPerfAccumulate("s0_forward_tl_d_channel", s0_out.forward_tlDchannel) 14218f1fa9b1Ssfencevma XSPerfAccumulate("s0_hardware_prefetch_fire", s0_fire && s0_hw_prf_select) 14228f1fa9b1Ssfencevma XSPerfAccumulate("s0_software_prefetch_fire", s0_fire && s0_prf && s0_int_iss_select) 1423d7739d95Ssfencevma XSPerfAccumulate("s0_hardware_prefetch_blocked", io.ldu_io.prefetch_req.valid && !s0_hw_prf_select) 1424d7739d95Ssfencevma XSPerfAccumulate("s0_hardware_prefetch_total", io.ldu_io.prefetch_req.valid) 14258f1fa9b1Ssfencevma 14268f1fa9b1Ssfencevma XSPerfAccumulate("s1_in_valid", s1_valid) 14278f1fa9b1Ssfencevma XSPerfAccumulate("s1_in_fire", s1_fire) 14288f1fa9b1Ssfencevma XSPerfAccumulate("s1_in_fire_first_issue", s1_fire && s1_in.isFirstIssue) 14298f1fa9b1Ssfencevma XSPerfAccumulate("s1_tlb_miss", s1_fire && s1_tlb_miss) 14308f1fa9b1Ssfencevma XSPerfAccumulate("s1_tlb_miss_first_issue", s1_fire && s1_tlb_miss && s1_in.isFirstIssue) 14318f1fa9b1Ssfencevma XSPerfAccumulate("s1_stall_out", s1_valid && !s1_can_go) 14328f1fa9b1Ssfencevma XSPerfAccumulate("s1_late_kill", s1_valid && s1_fast_rep_kill) 14338f1fa9b1Ssfencevma 14348f1fa9b1Ssfencevma XSPerfAccumulate("s2_in_valid", s2_valid) 14358f1fa9b1Ssfencevma XSPerfAccumulate("s2_in_fire", s2_fire) 14368f1fa9b1Ssfencevma XSPerfAccumulate("s2_in_fire_first_issue", s2_fire && s2_in.isFirstIssue) 143746ba64e8Ssfencevma XSPerfAccumulate("s2_dcache_miss", s2_fire && io.ldu_io.dcache.resp.bits.miss) 143846ba64e8Ssfencevma XSPerfAccumulate("s2_dcache_miss_first_issue", s2_fire && io.ldu_io.dcache.resp.bits.miss && s2_in.isFirstIssue) 143946ba64e8Ssfencevma XSPerfAccumulate("s2_dcache_real_miss_first_issue", s2_fire && io.ldu_io.dcache.resp.bits.miss && s2_in.isFirstIssue) 14408f1fa9b1Ssfencevma XSPerfAccumulate("s2_full_forward", s2_fire && s2_full_fwd) 14418f1fa9b1Ssfencevma XSPerfAccumulate("s2_dcache_miss_full_forward", s2_fire && s2_dcache_miss) 14428f1fa9b1Ssfencevma XSPerfAccumulate("s2_fwd_frm_d_can", s2_valid && s2_fwd_frm_d_chan) 14438f1fa9b1Ssfencevma XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr", s2_valid && s2_fwd_frm_d_chan_or_mshr) 14448f1fa9b1Ssfencevma XSPerfAccumulate("s2_stall_out", s2_fire && !s2_can_go) 14458f1fa9b1Ssfencevma XSPerfAccumulate("s2_prefetch", s2_fire && s2_prf) 14468f1fa9b1Ssfencevma XSPerfAccumulate("s2_prefetch_ignored", s2_fire && s2_prf && s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict 144746ba64e8Ssfencevma XSPerfAccumulate("s2_prefetch_miss", s2_fire && s2_prf && io.ldu_io.dcache.resp.bits.miss) // prefetch req miss in l1 144846ba64e8Ssfencevma XSPerfAccumulate("s2_prefetch_hit", s2_fire && s2_prf && !io.ldu_io.dcache.resp.bits.miss) // prefetch req hit in l1 144946ba64e8Ssfencevma XSPerfAccumulate("s2_prefetch_accept", s2_fire && s2_prf && io.ldu_io.dcache.resp.bits.miss && !s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it 14508f1fa9b1Ssfencevma XSPerfAccumulate("s2_forward_req", s2_fire && s2_in.forward_tlDchannel) 14518f1fa9b1Ssfencevma XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid) 14528f1fa9b1Ssfencevma XSPerfAccumulate("s2_successfully_forward_mshr", s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid) 14538f1fa9b1Ssfencevma 14548f1fa9b1Ssfencevma XSPerfAccumulate("s3_fwd_frm_d_chan", s3_valid && s3_fwd_frm_d_chan_valid) 14558f1fa9b1Ssfencevma 14568f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward", s1_try_ptr_chasing && !s1_ptr_chasing_canceled) 14578f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_try", s1_try_ptr_chasing) 14588f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail", s1_cancel_ptr_chasing) 14598f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail_cancelled", s1_cancel_ptr_chasing && s1_ptr_chasing_canceled) 14608f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match) 14618f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail_op_not_ld", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld) 14628f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail_addr_align", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned) 14638f1fa9b1Ssfencevma XSPerfAccumulate("load_to_load_forward_fail_set_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch) 14648f1fa9b1Ssfencevma 14658f1fa9b1Ssfencevma // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design 14668f1fa9b1Ssfencevma // hardware performance counter 14678f1fa9b1Ssfencevma val perfEvents = Seq( 14688f1fa9b1Ssfencevma ("load_s0_in_fire ", s0_fire ), 14698f1fa9b1Ssfencevma ("load_to_load_forward ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled ), 147046ba64e8Ssfencevma ("stall_dcache ", s0_valid && s0_can_go && !io.ldu_io.dcache.req.ready ), 14718f1fa9b1Ssfencevma ("load_s1_in_fire ", s0_fire ), 14728f1fa9b1Ssfencevma ("load_s1_tlb_miss ", s1_fire && io.tlb.resp.bits.miss ), 14738f1fa9b1Ssfencevma ("load_s2_in_fire ", s1_fire ), 147446ba64e8Ssfencevma ("load_s2_dcache_miss ", s2_fire && io.ldu_io.dcache.resp.bits.miss ), 14758f1fa9b1Ssfencevma ) 14768f1fa9b1Ssfencevma generatePerfEvent() 14778f1fa9b1Ssfencevma}