xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/AtomicsUnit.scala (revision ee46cd6e78eef60f4d73a2afdc0db624d8ccba6b)
1024ee227SWilliam Wangpackage xiangshan.mem
2024ee227SWilliam Wang
3024ee227SWilliam Wangimport chisel3._
4024ee227SWilliam Wangimport chisel3.util._
5024ee227SWilliam Wangimport utils._
6024ee227SWilliam Wangimport xiangshan._
7024ee227SWilliam Wangimport xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants}
8024ee227SWilliam Wangimport xiangshan.backend.LSUOpType
9024ee227SWilliam Wang
10024ee227SWilliam Wangclass AtomicsUnit extends XSModule with MemoryOpConstants{
11024ee227SWilliam Wang  val io = IO(new Bundle() {
12024ee227SWilliam Wang    val in            = Flipped(Decoupled(new ExuInput))
13024ee227SWilliam Wang    val out           = Decoupled(new ExuOutput)
14024ee227SWilliam Wang    val dcache        = new DCacheWordIO
15024ee227SWilliam Wang    val dtlb          = new TlbRequestIO
1664e8d8bdSZhangZifei    val rsIdx         = Input(UInt(log2Up(IssQueSize).W))
17024ee227SWilliam Wang    val flush_sbuffer = new SbufferFlushBundle
18024ee227SWilliam Wang    val tlbFeedback   = ValidIO(new TlbFeedback)
19024ee227SWilliam Wang    val redirect      = Flipped(ValidIO(new Redirect))
202d7c7105SYinan Xu    val flush      = Input(Bool())
2111131ea4SYinan Xu    val exceptionAddr = ValidIO(UInt(VAddrBits.W))
22024ee227SWilliam Wang  })
23024ee227SWilliam Wang
248a5bdd64Swangkaifan  val difftestIO = IO(new Bundle() {
258a5bdd64Swangkaifan    val atomicResp = Output(Bool())
268a5bdd64Swangkaifan    val atomicAddr = Output(UInt(64.W))
278a5bdd64Swangkaifan    val atomicData = Output(UInt(64.W))
288a5bdd64Swangkaifan    val atomicMask = Output(UInt(8.W))
29f97664b3Swangkaifan    val atomicFuop = Output(UInt(8.W))
30f97664b3Swangkaifan    val atomicOut  = Output(UInt(64.W))
318a5bdd64Swangkaifan  })
328a5bdd64Swangkaifan  difftestIO <> DontCare
338a5bdd64Swangkaifan
34024ee227SWilliam Wang  //-------------------------------------------------------
35024ee227SWilliam Wang  // Atomics Memory Accsess FSM
36024ee227SWilliam Wang  //-------------------------------------------------------
37024ee227SWilliam Wang  val s_invalid :: s_tlb  :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(7)
38024ee227SWilliam Wang  val state = RegInit(s_invalid)
39024ee227SWilliam Wang  val in = Reg(new ExuInput())
400d045bd0SYinan Xu  val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec()))
41024ee227SWilliam Wang  val atom_override_xtval = RegInit(false.B)
42024ee227SWilliam Wang  // paddr after translation
43024ee227SWilliam Wang  val paddr = Reg(UInt())
44cff68e26SWilliam Wang  val is_mmio = Reg(Bool())
45024ee227SWilliam Wang  // dcache response data
46024ee227SWilliam Wang  val resp_data = Reg(UInt())
47f97664b3Swangkaifan  val resp_data_wire = WireInit(0.U)
48024ee227SWilliam Wang  val is_lrsc_valid = Reg(Bool())
49024ee227SWilliam Wang
508a5bdd64Swangkaifan  // Difftest signals
518a5bdd64Swangkaifan  val paddr_reg = Reg(UInt(64.W))
528a5bdd64Swangkaifan  val data_reg = Reg(UInt(64.W))
538a5bdd64Swangkaifan  val mask_reg = Reg(UInt(8.W))
54f97664b3Swangkaifan  val fuop_reg = Reg(UInt(8.W))
558a5bdd64Swangkaifan
5611131ea4SYinan Xu  io.exceptionAddr.valid := atom_override_xtval
5711131ea4SYinan Xu  io.exceptionAddr.bits  := in.src1
58024ee227SWilliam Wang
59024ee227SWilliam Wang  // assign default value to output signals
60024ee227SWilliam Wang  io.in.ready          := false.B
61024ee227SWilliam Wang  io.out.valid         := false.B
62024ee227SWilliam Wang  io.out.bits          := DontCare
63024ee227SWilliam Wang
64024ee227SWilliam Wang  io.dcache.req.valid  := false.B
65024ee227SWilliam Wang  io.dcache.req.bits   := DontCare
66024ee227SWilliam Wang  io.dcache.resp.ready := false.B
67024ee227SWilliam Wang
68024ee227SWilliam Wang  io.dtlb.req.valid    := false.B
69024ee227SWilliam Wang  io.dtlb.req.bits     := DontCare
700cab60cbSZhangZifei  io.dtlb.resp.ready   := false.B
71024ee227SWilliam Wang
72024ee227SWilliam Wang  io.flush_sbuffer.valid := false.B
73024ee227SWilliam Wang
74024ee227SWilliam Wang  XSDebug("state: %d\n", state)
75024ee227SWilliam Wang
76024ee227SWilliam Wang  when (state === s_invalid) {
77024ee227SWilliam Wang    io.in.ready := true.B
78024ee227SWilliam Wang    when (io.in.fire()) {
79024ee227SWilliam Wang      in := io.in.bits
80024ee227SWilliam Wang      state := s_tlb
81024ee227SWilliam Wang    }
82024ee227SWilliam Wang  }
83024ee227SWilliam Wang
84024ee227SWilliam Wang  // Send TLB feedback to store issue queue
85024ee227SWilliam Wang  // we send feedback right after we receives request
86024ee227SWilliam Wang  // also, we always treat amo as tlb hit
87024ee227SWilliam Wang  // since we will continue polling tlb all by ourself
88665ccb1fSYinan Xu  io.tlbFeedback.valid       := RegNext(RegNext(io.in.valid))
89024ee227SWilliam Wang  io.tlbFeedback.bits.hit    := true.B
9064e8d8bdSZhangZifei  io.tlbFeedback.bits.rsIdx  := RegEnable(io.rsIdx, io.in.valid)
9162f57a35SLemover  io.tlbFeedback.bits.flushState := DontCare
92024ee227SWilliam Wang
93024ee227SWilliam Wang  // tlb translation, manipulating signals && deal with exception
94024ee227SWilliam Wang  when (state === s_tlb) {
95024ee227SWilliam Wang    // send req to dtlb
96024ee227SWilliam Wang    // keep firing until tlb hit
97024ee227SWilliam Wang    io.dtlb.req.valid       := true.B
98024ee227SWilliam Wang    io.dtlb.req.bits.vaddr  := in.src1
99024ee227SWilliam Wang    io.dtlb.req.bits.roqIdx := in.uop.roqIdx
100cd3bc62aSZhangZifei    io.dtlb.resp.ready      := true.B
101024ee227SWilliam Wang    val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d
102cff68e26SWilliam Wang    io.dtlb.req.bits.cmd    := Mux(is_lr, TlbCmd.atom_read, TlbCmd.atom_write)
103024ee227SWilliam Wang    io.dtlb.req.bits.debug.pc := in.uop.cf.pc
104*ee46cd6eSLemover    io.dtlb.req.bits.debug.isFirstIssue := false.B
105024ee227SWilliam Wang
1060cab60cbSZhangZifei    when(io.dtlb.resp.fire && !io.dtlb.resp.bits.miss){
107024ee227SWilliam Wang      // exception handling
108024ee227SWilliam Wang      val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List(
109024ee227SWilliam Wang        "b00".U   -> true.B,              //b
110024ee227SWilliam Wang        "b01".U   -> (in.src1(0) === 0.U),   //h
111024ee227SWilliam Wang        "b10".U   -> (in.src1(1,0) === 0.U), //w
112024ee227SWilliam Wang        "b11".U   -> (in.src1(2,0) === 0.U)  //d
113024ee227SWilliam Wang      ))
1140d045bd0SYinan Xu      exceptionVec(storeAddrMisaligned) := !addrAligned
1150d045bd0SYinan Xu      exceptionVec(storePageFault)      := io.dtlb.resp.bits.excp.pf.st
1160d045bd0SYinan Xu      exceptionVec(loadPageFault)       := io.dtlb.resp.bits.excp.pf.ld
117a8e04b1dSYinan Xu      exceptionVec(storeAccessFault)    := io.dtlb.resp.bits.excp.af.st
118a8e04b1dSYinan Xu      exceptionVec(loadAccessFault)     := io.dtlb.resp.bits.excp.af.ld
119cff68e26SWilliam Wang      val exception = !addrAligned ||
120cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.pf.st ||
121cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.pf.ld ||
122cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.af.st ||
123cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.af.ld
124cff68e26SWilliam Wang      is_mmio := io.dtlb.resp.bits.mmio
125024ee227SWilliam Wang      when (exception) {
126024ee227SWilliam Wang        // check for exceptions
127024ee227SWilliam Wang        // if there are exceptions, no need to execute it
128024ee227SWilliam Wang        state := s_finish
129024ee227SWilliam Wang        atom_override_xtval := true.B
130024ee227SWilliam Wang      } .otherwise {
131024ee227SWilliam Wang        paddr := io.dtlb.resp.bits.paddr
132024ee227SWilliam Wang        state := s_flush_sbuffer_req
133024ee227SWilliam Wang      }
134024ee227SWilliam Wang    }
135024ee227SWilliam Wang  }
136024ee227SWilliam Wang
137024ee227SWilliam Wang
138024ee227SWilliam Wang  when (state === s_flush_sbuffer_req) {
139024ee227SWilliam Wang    io.flush_sbuffer.valid := true.B
140024ee227SWilliam Wang    state := s_flush_sbuffer_resp
141024ee227SWilliam Wang  }
142024ee227SWilliam Wang
143024ee227SWilliam Wang  when (state === s_flush_sbuffer_resp) {
144024ee227SWilliam Wang    when (io.flush_sbuffer.empty) {
145024ee227SWilliam Wang      state := s_cache_req
146024ee227SWilliam Wang    }
147024ee227SWilliam Wang  }
148024ee227SWilliam Wang
149024ee227SWilliam Wang  when (state === s_cache_req) {
150024ee227SWilliam Wang    io.dcache.req.valid := true.B
151024ee227SWilliam Wang    io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List(
152024ee227SWilliam Wang      LSUOpType.lr_w      -> M_XLR,
153024ee227SWilliam Wang      LSUOpType.sc_w      -> M_XSC,
154024ee227SWilliam Wang      LSUOpType.amoswap_w -> M_XA_SWAP,
155024ee227SWilliam Wang      LSUOpType.amoadd_w  -> M_XA_ADD,
156024ee227SWilliam Wang      LSUOpType.amoxor_w  -> M_XA_XOR,
157024ee227SWilliam Wang      LSUOpType.amoand_w  -> M_XA_AND,
158024ee227SWilliam Wang      LSUOpType.amoor_w   -> M_XA_OR,
159024ee227SWilliam Wang      LSUOpType.amomin_w  -> M_XA_MIN,
160024ee227SWilliam Wang      LSUOpType.amomax_w  -> M_XA_MAX,
161024ee227SWilliam Wang      LSUOpType.amominu_w -> M_XA_MINU,
162024ee227SWilliam Wang      LSUOpType.amomaxu_w -> M_XA_MAXU,
163024ee227SWilliam Wang
164024ee227SWilliam Wang      LSUOpType.lr_d      -> M_XLR,
165024ee227SWilliam Wang      LSUOpType.sc_d      -> M_XSC,
166024ee227SWilliam Wang      LSUOpType.amoswap_d -> M_XA_SWAP,
167024ee227SWilliam Wang      LSUOpType.amoadd_d  -> M_XA_ADD,
168024ee227SWilliam Wang      LSUOpType.amoxor_d  -> M_XA_XOR,
169024ee227SWilliam Wang      LSUOpType.amoand_d  -> M_XA_AND,
170024ee227SWilliam Wang      LSUOpType.amoor_d   -> M_XA_OR,
171024ee227SWilliam Wang      LSUOpType.amomin_d  -> M_XA_MIN,
172024ee227SWilliam Wang      LSUOpType.amomax_d  -> M_XA_MAX,
173024ee227SWilliam Wang      LSUOpType.amominu_d -> M_XA_MINU,
174024ee227SWilliam Wang      LSUOpType.amomaxu_d -> M_XA_MAXU
175024ee227SWilliam Wang    ))
176024ee227SWilliam Wang
177024ee227SWilliam Wang    io.dcache.req.bits.addr := paddr
178024ee227SWilliam Wang    io.dcache.req.bits.data := genWdata(in.src2, in.uop.ctrl.fuOpType(1,0))
179024ee227SWilliam Wang    // TODO: atomics do need mask: fix mask
180024ee227SWilliam Wang    io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0))
181743bc277SAllen    io.dcache.req.bits.id   := DontCare
182024ee227SWilliam Wang
183024ee227SWilliam Wang    when(io.dcache.req.fire()){
184024ee227SWilliam Wang      state := s_cache_resp
1858a5bdd64Swangkaifan      paddr_reg := io.dcache.req.bits.addr
1868a5bdd64Swangkaifan      data_reg := io.dcache.req.bits.data
1878a5bdd64Swangkaifan      mask_reg := io.dcache.req.bits.mask
188f97664b3Swangkaifan      fuop_reg := in.uop.ctrl.fuOpType
189024ee227SWilliam Wang    }
190024ee227SWilliam Wang  }
191024ee227SWilliam Wang
192024ee227SWilliam Wang  when (state === s_cache_resp) {
193024ee227SWilliam Wang    io.dcache.resp.ready := true.B
194024ee227SWilliam Wang    when(io.dcache.resp.fire()) {
195743bc277SAllen      is_lrsc_valid := io.dcache.resp.bits.id
196024ee227SWilliam Wang      val rdata = io.dcache.resp.bits.data
197024ee227SWilliam Wang      val rdataSel = LookupTree(paddr(2, 0), List(
198024ee227SWilliam Wang        "b000".U -> rdata(63, 0),
199024ee227SWilliam Wang        "b001".U -> rdata(63, 8),
200024ee227SWilliam Wang        "b010".U -> rdata(63, 16),
201024ee227SWilliam Wang        "b011".U -> rdata(63, 24),
202024ee227SWilliam Wang        "b100".U -> rdata(63, 32),
203024ee227SWilliam Wang        "b101".U -> rdata(63, 40),
204024ee227SWilliam Wang        "b110".U -> rdata(63, 48),
205024ee227SWilliam Wang        "b111".U -> rdata(63, 56)
206024ee227SWilliam Wang      ))
207024ee227SWilliam Wang
208f97664b3Swangkaifan      resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List(
209024ee227SWilliam Wang        LSUOpType.lr_w      -> SignExt(rdataSel(31, 0), XLEN),
2107962cc88SWilliam Wang        LSUOpType.sc_w      -> rdata,
211024ee227SWilliam Wang        LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN),
212024ee227SWilliam Wang        LSUOpType.amoadd_w  -> SignExt(rdataSel(31, 0), XLEN),
213024ee227SWilliam Wang        LSUOpType.amoxor_w  -> SignExt(rdataSel(31, 0), XLEN),
214024ee227SWilliam Wang        LSUOpType.amoand_w  -> SignExt(rdataSel(31, 0), XLEN),
215024ee227SWilliam Wang        LSUOpType.amoor_w   -> SignExt(rdataSel(31, 0), XLEN),
216024ee227SWilliam Wang        LSUOpType.amomin_w  -> SignExt(rdataSel(31, 0), XLEN),
217024ee227SWilliam Wang        LSUOpType.amomax_w  -> SignExt(rdataSel(31, 0), XLEN),
218024ee227SWilliam Wang        LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN),
219024ee227SWilliam Wang        LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN),
220024ee227SWilliam Wang
221024ee227SWilliam Wang        LSUOpType.lr_d      -> SignExt(rdataSel(63, 0), XLEN),
2227962cc88SWilliam Wang        LSUOpType.sc_d      -> rdata,
223024ee227SWilliam Wang        LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN),
224024ee227SWilliam Wang        LSUOpType.amoadd_d  -> SignExt(rdataSel(63, 0), XLEN),
225024ee227SWilliam Wang        LSUOpType.amoxor_d  -> SignExt(rdataSel(63, 0), XLEN),
226024ee227SWilliam Wang        LSUOpType.amoand_d  -> SignExt(rdataSel(63, 0), XLEN),
227024ee227SWilliam Wang        LSUOpType.amoor_d   -> SignExt(rdataSel(63, 0), XLEN),
228024ee227SWilliam Wang        LSUOpType.amomin_d  -> SignExt(rdataSel(63, 0), XLEN),
229024ee227SWilliam Wang        LSUOpType.amomax_d  -> SignExt(rdataSel(63, 0), XLEN),
230024ee227SWilliam Wang        LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN),
231024ee227SWilliam Wang        LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN)
232024ee227SWilliam Wang      ))
233024ee227SWilliam Wang
234f97664b3Swangkaifan      resp_data := resp_data_wire
235024ee227SWilliam Wang      state := s_finish
236024ee227SWilliam Wang    }
237024ee227SWilliam Wang  }
238024ee227SWilliam Wang
239024ee227SWilliam Wang  when (state === s_finish) {
240024ee227SWilliam Wang    io.out.valid := true.B
241024ee227SWilliam Wang    io.out.bits.uop := in.uop
2420d045bd0SYinan Xu    io.out.bits.uop.cf.exceptionVec := exceptionVec
243024ee227SWilliam Wang    io.out.bits.uop.diffTestDebugLrScValid := is_lrsc_valid
244024ee227SWilliam Wang    io.out.bits.data := resp_data
245024ee227SWilliam Wang    io.out.bits.redirectValid := false.B
246024ee227SWilliam Wang    io.out.bits.redirect := DontCare
247cff68e26SWilliam Wang    io.out.bits.debug.isMMIO := is_mmio
24807635e87Swangkaifan    io.out.bits.debug.paddr := paddr
249024ee227SWilliam Wang    when (io.out.fire()) {
250024ee227SWilliam Wang      XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data)
251024ee227SWilliam Wang      state := s_invalid
252024ee227SWilliam Wang    }
253024ee227SWilliam Wang  }
254024ee227SWilliam Wang
2552d7c7105SYinan Xu  when(io.redirect.valid || io.flush){
256024ee227SWilliam Wang    atom_override_xtval := false.B
257024ee227SWilliam Wang  }
2588a5bdd64Swangkaifan
2593d499721Swangkaifan  if (!env.FPGAPlatform) {
2608a5bdd64Swangkaifan    difftestIO.atomicResp := WireInit(io.dcache.resp.fire())
2618a5bdd64Swangkaifan    difftestIO.atomicAddr := WireInit(paddr_reg)
2628a5bdd64Swangkaifan    difftestIO.atomicData := WireInit(data_reg)
2638a5bdd64Swangkaifan    difftestIO.atomicMask := WireInit(mask_reg)
264f97664b3Swangkaifan    difftestIO.atomicFuop := WireInit(fuop_reg)
265f97664b3Swangkaifan    difftestIO.atomicOut  := resp_data_wire
2668a5bdd64Swangkaifan  }
267024ee227SWilliam Wang}
268