xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/AtomicsUnit.scala (revision c3b763d06258ce632f3eb5ffd9ad985607c041fb)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17024ee227SWilliam Wangpackage xiangshan.mem
18024ee227SWilliam Wang
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
20024ee227SWilliam Wangimport chisel3._
21024ee227SWilliam Wangimport chisel3.util._
22024ee227SWilliam Wangimport utils._
23024ee227SWilliam Wangimport xiangshan._
246786cfb7SWilliam Wangimport xiangshan.cache.{AtomicWordIO, MemoryOpConstants}
25ca2f90a6SLemoverimport xiangshan.cache.mmu.{TlbCmd, TlbRequestIO}
262225d46eSJiawei Linimport difftest._
276ab6918fSYinan Xuimport xiangshan.ExceptionNO._
28ca2f90a6SLemoverimport xiangshan.backend.fu.PMPRespBundle
29024ee227SWilliam Wang
302225d46eSJiawei Linclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants{
31024ee227SWilliam Wang  val io = IO(new Bundle() {
325668a921SJiawei Lin    val hartId = Input(UInt(8.W))
33024ee227SWilliam Wang    val in            = Flipped(Decoupled(new ExuInput))
346ab6918fSYinan Xu    val storeDataIn   = Flipped(Valid(new ExuOutput)) // src2 from rs
35024ee227SWilliam Wang    val out           = Decoupled(new ExuOutput)
366786cfb7SWilliam Wang    val dcache        = new AtomicWordIO
37024ee227SWilliam Wang    val dtlb          = new TlbRequestIO
38ca2f90a6SLemover    val pmpResp       = Flipped(new PMPRespBundle())
3964e8d8bdSZhangZifei    val rsIdx         = Input(UInt(log2Up(IssQueSize).W))
40024ee227SWilliam Wang    val flush_sbuffer = new SbufferFlushBundle
41d87b76aaSWilliam Wang    val feedbackSlow  = ValidIO(new RSFeedback)
42024ee227SWilliam Wang    val redirect      = Flipped(ValidIO(new Redirect))
4311131ea4SYinan Xu    val exceptionAddr = ValidIO(UInt(VAddrBits.W))
44026615fcSWilliam Wang    val csrCtrl       = Flipped(new CustomCSRCtrlIO)
45024ee227SWilliam Wang  })
46024ee227SWilliam Wang
47024ee227SWilliam Wang  //-------------------------------------------------------
48024ee227SWilliam Wang  // Atomics Memory Accsess FSM
49024ee227SWilliam Wang  //-------------------------------------------------------
50ca2f90a6SLemover  val s_invalid :: s_tlb :: s_pm :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(8)
51024ee227SWilliam Wang  val state = RegInit(s_invalid)
524f39c746SYinan Xu  val out_valid = RegInit(false.B)
531b7adedcSWilliam Wang  val data_valid = RegInit(false.B)
54024ee227SWilliam Wang  val in = Reg(new ExuInput())
550d045bd0SYinan Xu  val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec()))
56024ee227SWilliam Wang  val atom_override_xtval = RegInit(false.B)
57bbd4b852SWilliam Wang  val isLr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d
58024ee227SWilliam Wang  // paddr after translation
59024ee227SWilliam Wang  val paddr = Reg(UInt())
60bbd4b852SWilliam Wang  val vaddr = in.src(0)
61cff68e26SWilliam Wang  val is_mmio = Reg(Bool())
62cba0a7e0SLemover  // pmp check
63cba0a7e0SLemover  val static_pm = Reg(Valid(Bool())) // valid for static, bits for mmio
64024ee227SWilliam Wang  // dcache response data
65024ee227SWilliam Wang  val resp_data = Reg(UInt())
66f97664b3Swangkaifan  val resp_data_wire = WireInit(0.U)
67024ee227SWilliam Wang  val is_lrsc_valid = Reg(Bool())
68024ee227SWilliam Wang
69bbd4b852SWilliam Wang
708a5bdd64Swangkaifan  // Difftest signals
718a5bdd64Swangkaifan  val paddr_reg = Reg(UInt(64.W))
728a5bdd64Swangkaifan  val data_reg = Reg(UInt(64.W))
738a5bdd64Swangkaifan  val mask_reg = Reg(UInt(8.W))
74f97664b3Swangkaifan  val fuop_reg = Reg(UInt(8.W))
758a5bdd64Swangkaifan
7611131ea4SYinan Xu  io.exceptionAddr.valid := atom_override_xtval
772bd5334dSYinan Xu  io.exceptionAddr.bits  := in.src(0)
78024ee227SWilliam Wang
79024ee227SWilliam Wang  // assign default value to output signals
80024ee227SWilliam Wang  io.in.ready          := false.B
81024ee227SWilliam Wang
82024ee227SWilliam Wang  io.dcache.req.valid  := false.B
83024ee227SWilliam Wang  io.dcache.req.bits   := DontCare
84024ee227SWilliam Wang  io.dcache.resp.ready := false.B
85024ee227SWilliam Wang
86024ee227SWilliam Wang  io.dtlb.req.valid    := false.B
87024ee227SWilliam Wang  io.dtlb.req.bits     := DontCare
88*c3b763d0SYinan Xu  io.dtlb.req_kill     := false.B
899930e66fSLemover  io.dtlb.resp.ready   := true.B
90024ee227SWilliam Wang
91024ee227SWilliam Wang  io.flush_sbuffer.valid := false.B
92024ee227SWilliam Wang
93024ee227SWilliam Wang  XSDebug("state: %d\n", state)
94024ee227SWilliam Wang
95024ee227SWilliam Wang  when (state === s_invalid) {
96024ee227SWilliam Wang    io.in.ready := true.B
974f39c746SYinan Xu    when (io.in.fire) {
98024ee227SWilliam Wang      in := io.in.bits
992bd5334dSYinan Xu      in.src(1) := in.src(1) // leave src2 unchanged
10082d348fbSLemover      state := s_tlb
1011b7adedcSWilliam Wang    }
10282d348fbSLemover  }
10382d348fbSLemover
1044f39c746SYinan Xu  when (io.storeDataIn.fire) {
1052bd5334dSYinan Xu    in.src(1) := io.storeDataIn.bits.data
1061b7adedcSWilliam Wang    data_valid := true.B
1071b7adedcSWilliam Wang  }
108024ee227SWilliam Wang
1094f39c746SYinan Xu  assert(!(io.storeDataIn.fire && data_valid), "atomic unit re-receive data")
1101b7adedcSWilliam Wang
111024ee227SWilliam Wang  // Send TLB feedback to store issue queue
112024ee227SWilliam Wang  // we send feedback right after we receives request
113024ee227SWilliam Wang  // also, we always treat amo as tlb hit
114024ee227SWilliam Wang  // since we will continue polling tlb all by ourself
115d87b76aaSWilliam Wang  io.feedbackSlow.valid       := RegNext(RegNext(io.in.valid))
116d87b76aaSWilliam Wang  io.feedbackSlow.bits.hit    := true.B
117d87b76aaSWilliam Wang  io.feedbackSlow.bits.rsIdx  := RegEnable(io.rsIdx, io.in.valid)
118d87b76aaSWilliam Wang  io.feedbackSlow.bits.flushState := DontCare
119d87b76aaSWilliam Wang  io.feedbackSlow.bits.sourceType := DontCare
120c7160cd3SWilliam Wang  io.feedbackSlow.bits.dataInvalidSqIdx := DontCare
121024ee227SWilliam Wang
122024ee227SWilliam Wang  // tlb translation, manipulating signals && deal with exception
123024ee227SWilliam Wang  when (state === s_tlb) {
124024ee227SWilliam Wang    // send req to dtlb
125024ee227SWilliam Wang    // keep firing until tlb hit
126024ee227SWilliam Wang    io.dtlb.req.valid       := true.B
1272bd5334dSYinan Xu    io.dtlb.req.bits.vaddr  := in.src(0)
128024ee227SWilliam Wang    val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d
129cff68e26SWilliam Wang    io.dtlb.req.bits.cmd    := Mux(is_lr, TlbCmd.atom_read, TlbCmd.atom_write)
130f1fe8698SLemover    io.dtlb.req.bits.debug.robIdx := in.uop.robIdx
131024ee227SWilliam Wang    io.dtlb.req.bits.debug.pc := in.uop.cf.pc
132ee46cd6eSLemover    io.dtlb.req.bits.debug.isFirstIssue := false.B
133024ee227SWilliam Wang
134e9092fe2SLemover    when(io.dtlb.resp.fire){
135e9092fe2SLemover      paddr := io.dtlb.resp.bits.paddr
136024ee227SWilliam Wang      // exception handling
137024ee227SWilliam Wang      val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List(
138024ee227SWilliam Wang        "b00".U   -> true.B,              //b
1392bd5334dSYinan Xu        "b01".U   -> (in.src(0)(0) === 0.U),   //h
1402bd5334dSYinan Xu        "b10".U   -> (in.src(0)(1,0) === 0.U), //w
1412bd5334dSYinan Xu        "b11".U   -> (in.src(0)(2,0) === 0.U)  //d
142024ee227SWilliam Wang      ))
1430d045bd0SYinan Xu      exceptionVec(storeAddrMisaligned) := !addrAligned
1440d045bd0SYinan Xu      exceptionVec(storePageFault)      := io.dtlb.resp.bits.excp.pf.st
1450d045bd0SYinan Xu      exceptionVec(loadPageFault)       := io.dtlb.resp.bits.excp.pf.ld
146a8e04b1dSYinan Xu      exceptionVec(storeAccessFault)    := io.dtlb.resp.bits.excp.af.st
147a8e04b1dSYinan Xu      exceptionVec(loadAccessFault)     := io.dtlb.resp.bits.excp.af.ld
148cba0a7e0SLemover      static_pm := io.dtlb.resp.bits.static_pm
149e9092fe2SLemover
150e9092fe2SLemover      when (!io.dtlb.resp.bits.miss) {
151e9092fe2SLemover        when (!addrAligned) {
152e9092fe2SLemover          // NOTE: when addrAligned, do not need to wait tlb actually
153e9092fe2SLemover          // check for miss aligned exceptions, tlb exception are checked next cycle for timing
154024ee227SWilliam Wang          // if there are exceptions, no need to execute it
155024ee227SWilliam Wang          state := s_finish
1564f39c746SYinan Xu          out_valid := true.B
157024ee227SWilliam Wang          atom_override_xtval := true.B
158024ee227SWilliam Wang        } .otherwise {
159ca2f90a6SLemover          state := s_pm
160024ee227SWilliam Wang        }
161024ee227SWilliam Wang      }
162024ee227SWilliam Wang    }
163e9092fe2SLemover  }
164024ee227SWilliam Wang
165ca2f90a6SLemover  when (state === s_pm) {
166cba0a7e0SLemover    val pmp = WireInit(io.pmpResp)
167cba0a7e0SLemover    when (static_pm.valid) {
168cba0a7e0SLemover      pmp.ld := false.B
169cba0a7e0SLemover      pmp.st := false.B
170cba0a7e0SLemover      pmp.instr := false.B
171cba0a7e0SLemover      pmp.mmio := static_pm.bits
172cba0a7e0SLemover    }
173cba0a7e0SLemover    is_mmio := pmp.mmio
174e9092fe2SLemover    // NOTE: only handle load/store exception here, if other exception happens, don't send here
175e9092fe2SLemover    val exception_va = exceptionVec(storePageFault) || exceptionVec(loadPageFault) ||
176e9092fe2SLemover      exceptionVec(storeAccessFault) || exceptionVec(loadAccessFault)
177cba0a7e0SLemover    val exception_pa = pmp.st
178e9092fe2SLemover    when (exception_va || exception_pa) {
179ca2f90a6SLemover      state := s_finish
1804f39c746SYinan Xu      out_valid := true.B
181ca2f90a6SLemover      atom_override_xtval := true.B
182ca2f90a6SLemover    }.otherwise {
183ca2f90a6SLemover      state := s_flush_sbuffer_req
184ca2f90a6SLemover    }
185ca2f90a6SLemover  }
186024ee227SWilliam Wang
187024ee227SWilliam Wang  when (state === s_flush_sbuffer_req) {
188024ee227SWilliam Wang    io.flush_sbuffer.valid := true.B
189024ee227SWilliam Wang    state := s_flush_sbuffer_resp
190024ee227SWilliam Wang  }
191024ee227SWilliam Wang
192024ee227SWilliam Wang  when (state === s_flush_sbuffer_resp) {
193024ee227SWilliam Wang    when (io.flush_sbuffer.empty) {
194024ee227SWilliam Wang      state := s_cache_req
195024ee227SWilliam Wang    }
196024ee227SWilliam Wang  }
197024ee227SWilliam Wang
198024ee227SWilliam Wang  when (state === s_cache_req) {
199024ee227SWilliam Wang    io.dcache.req.valid := true.B
200024ee227SWilliam Wang    io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List(
201024ee227SWilliam Wang      LSUOpType.lr_w      -> M_XLR,
202024ee227SWilliam Wang      LSUOpType.sc_w      -> M_XSC,
203024ee227SWilliam Wang      LSUOpType.amoswap_w -> M_XA_SWAP,
204024ee227SWilliam Wang      LSUOpType.amoadd_w  -> M_XA_ADD,
205024ee227SWilliam Wang      LSUOpType.amoxor_w  -> M_XA_XOR,
206024ee227SWilliam Wang      LSUOpType.amoand_w  -> M_XA_AND,
207024ee227SWilliam Wang      LSUOpType.amoor_w   -> M_XA_OR,
208024ee227SWilliam Wang      LSUOpType.amomin_w  -> M_XA_MIN,
209024ee227SWilliam Wang      LSUOpType.amomax_w  -> M_XA_MAX,
210024ee227SWilliam Wang      LSUOpType.amominu_w -> M_XA_MINU,
211024ee227SWilliam Wang      LSUOpType.amomaxu_w -> M_XA_MAXU,
212024ee227SWilliam Wang
213024ee227SWilliam Wang      LSUOpType.lr_d      -> M_XLR,
214024ee227SWilliam Wang      LSUOpType.sc_d      -> M_XSC,
215024ee227SWilliam Wang      LSUOpType.amoswap_d -> M_XA_SWAP,
216024ee227SWilliam Wang      LSUOpType.amoadd_d  -> M_XA_ADD,
217024ee227SWilliam Wang      LSUOpType.amoxor_d  -> M_XA_XOR,
218024ee227SWilliam Wang      LSUOpType.amoand_d  -> M_XA_AND,
219024ee227SWilliam Wang      LSUOpType.amoor_d   -> M_XA_OR,
220024ee227SWilliam Wang      LSUOpType.amomin_d  -> M_XA_MIN,
221024ee227SWilliam Wang      LSUOpType.amomax_d  -> M_XA_MAX,
222024ee227SWilliam Wang      LSUOpType.amominu_d -> M_XA_MINU,
223024ee227SWilliam Wang      LSUOpType.amomaxu_d -> M_XA_MAXU
224024ee227SWilliam Wang    ))
225024ee227SWilliam Wang
226024ee227SWilliam Wang    io.dcache.req.bits.addr := paddr
2271f0e2dc7SJiawei Lin    io.dcache.req.bits.vaddr := in.src(0) // vaddr
2282bd5334dSYinan Xu    io.dcache.req.bits.data := genWdata(in.src(1), in.uop.ctrl.fuOpType(1,0))
229024ee227SWilliam Wang    // TODO: atomics do need mask: fix mask
230024ee227SWilliam Wang    io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0))
231743bc277SAllen    io.dcache.req.bits.id   := DontCare
232024ee227SWilliam Wang
2334f39c746SYinan Xu    when(io.dcache.req.fire){
234024ee227SWilliam Wang      state := s_cache_resp
2358a5bdd64Swangkaifan      paddr_reg := io.dcache.req.bits.addr
2368a5bdd64Swangkaifan      data_reg := io.dcache.req.bits.data
2378a5bdd64Swangkaifan      mask_reg := io.dcache.req.bits.mask
238f97664b3Swangkaifan      fuop_reg := in.uop.ctrl.fuOpType
239024ee227SWilliam Wang    }
240024ee227SWilliam Wang  }
241024ee227SWilliam Wang
242024ee227SWilliam Wang  when (state === s_cache_resp) {
24382d348fbSLemover    io.dcache.resp.ready := data_valid
2444f39c746SYinan Xu    when(io.dcache.resp.fire) {
245743bc277SAllen      is_lrsc_valid := io.dcache.resp.bits.id
246024ee227SWilliam Wang      val rdata = io.dcache.resp.bits.data
247024ee227SWilliam Wang      val rdataSel = LookupTree(paddr(2, 0), List(
248024ee227SWilliam Wang        "b000".U -> rdata(63, 0),
249024ee227SWilliam Wang        "b001".U -> rdata(63, 8),
250024ee227SWilliam Wang        "b010".U -> rdata(63, 16),
251024ee227SWilliam Wang        "b011".U -> rdata(63, 24),
252024ee227SWilliam Wang        "b100".U -> rdata(63, 32),
253024ee227SWilliam Wang        "b101".U -> rdata(63, 40),
254024ee227SWilliam Wang        "b110".U -> rdata(63, 48),
255024ee227SWilliam Wang        "b111".U -> rdata(63, 56)
256024ee227SWilliam Wang      ))
257024ee227SWilliam Wang
258f97664b3Swangkaifan      resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List(
259024ee227SWilliam Wang        LSUOpType.lr_w      -> SignExt(rdataSel(31, 0), XLEN),
2607962cc88SWilliam Wang        LSUOpType.sc_w      -> rdata,
261024ee227SWilliam Wang        LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN),
262024ee227SWilliam Wang        LSUOpType.amoadd_w  -> SignExt(rdataSel(31, 0), XLEN),
263024ee227SWilliam Wang        LSUOpType.amoxor_w  -> SignExt(rdataSel(31, 0), XLEN),
264024ee227SWilliam Wang        LSUOpType.amoand_w  -> SignExt(rdataSel(31, 0), XLEN),
265024ee227SWilliam Wang        LSUOpType.amoor_w   -> SignExt(rdataSel(31, 0), XLEN),
266024ee227SWilliam Wang        LSUOpType.amomin_w  -> SignExt(rdataSel(31, 0), XLEN),
267024ee227SWilliam Wang        LSUOpType.amomax_w  -> SignExt(rdataSel(31, 0), XLEN),
268024ee227SWilliam Wang        LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN),
269024ee227SWilliam Wang        LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN),
270024ee227SWilliam Wang
271024ee227SWilliam Wang        LSUOpType.lr_d      -> SignExt(rdataSel(63, 0), XLEN),
2727962cc88SWilliam Wang        LSUOpType.sc_d      -> rdata,
273024ee227SWilliam Wang        LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN),
274024ee227SWilliam Wang        LSUOpType.amoadd_d  -> SignExt(rdataSel(63, 0), XLEN),
275024ee227SWilliam Wang        LSUOpType.amoxor_d  -> SignExt(rdataSel(63, 0), XLEN),
276024ee227SWilliam Wang        LSUOpType.amoand_d  -> SignExt(rdataSel(63, 0), XLEN),
277024ee227SWilliam Wang        LSUOpType.amoor_d   -> SignExt(rdataSel(63, 0), XLEN),
278024ee227SWilliam Wang        LSUOpType.amomin_d  -> SignExt(rdataSel(63, 0), XLEN),
279024ee227SWilliam Wang        LSUOpType.amomax_d  -> SignExt(rdataSel(63, 0), XLEN),
280024ee227SWilliam Wang        LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN),
281024ee227SWilliam Wang        LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN)
282024ee227SWilliam Wang      ))
283024ee227SWilliam Wang
284026615fcSWilliam Wang      when (io.dcache.resp.bits.error && io.csrCtrl.cache_error_enable) {
285026615fcSWilliam Wang        exceptionVec(loadAccessFault)  := isLr
286026615fcSWilliam Wang        exceptionVec(storeAccessFault) := !isLr
287026615fcSWilliam Wang        assert(!exceptionVec(loadAccessFault))
288026615fcSWilliam Wang        assert(!exceptionVec(storeAccessFault))
289026615fcSWilliam Wang      }
290026615fcSWilliam Wang
291f97664b3Swangkaifan      resp_data := resp_data_wire
292024ee227SWilliam Wang      state := s_finish
2934f39c746SYinan Xu      out_valid := true.B
294024ee227SWilliam Wang    }
295024ee227SWilliam Wang  }
296024ee227SWilliam Wang
2974f39c746SYinan Xu  io.out.valid := out_valid
2984f39c746SYinan Xu  XSError((state === s_finish) =/= out_valid, "out_valid reg error\n")
2994f39c746SYinan Xu  io.out.bits := DontCare
300024ee227SWilliam Wang  io.out.bits.uop := in.uop
3010d045bd0SYinan Xu  io.out.bits.uop.cf.exceptionVec := exceptionVec
302024ee227SWilliam Wang  io.out.bits.data := resp_data
303024ee227SWilliam Wang  io.out.bits.redirectValid := false.B
304cff68e26SWilliam Wang  io.out.bits.debug.isMMIO := is_mmio
30507635e87Swangkaifan  io.out.bits.debug.paddr := paddr
3064f39c746SYinan Xu  when (io.out.fire) {
307024ee227SWilliam Wang    XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data)
308024ee227SWilliam Wang    state := s_invalid
3094f39c746SYinan Xu    out_valid := false.B
310024ee227SWilliam Wang  }
3114f39c746SYinan Xu
3124f39c746SYinan Xu  when (state === s_finish) {
31382d348fbSLemover    data_valid := false.B
314024ee227SWilliam Wang  }
315024ee227SWilliam Wang
316f4b2089aSYinan Xu  when (io.redirect.valid) {
317024ee227SWilliam Wang    atom_override_xtval := false.B
318024ee227SWilliam Wang  }
3198a5bdd64Swangkaifan
320bbd4b852SWilliam Wang  // atomic trigger
321bbd4b852SWilliam Wang  val csrCtrl = io.csrCtrl
322bbd4b852SWilliam Wang  val tdata = Reg(Vec(6, new MatchTriggerIO))
323bbd4b852SWilliam Wang  val tEnable = RegInit(VecInit(Seq.fill(6)(false.B)))
324bbd4b852SWilliam Wang  val en = csrCtrl.trigger_enable
325bbd4b852SWilliam Wang  tEnable := VecInit(en(2), en (3), en(7), en(4), en(5), en(9))
326bbd4b852SWilliam Wang  when(csrCtrl.mem_trigger.t.valid) {
327bbd4b852SWilliam Wang    tdata(csrCtrl.mem_trigger.t.bits.addr) := csrCtrl.mem_trigger.t.bits.tdata
328bbd4b852SWilliam Wang  }
329bbd4b852SWilliam Wang  val lTriggerMapping = Map(0 -> 2, 1 -> 3, 2 -> 5)
330bbd4b852SWilliam Wang  val sTriggerMapping = Map(0 -> 0, 1 -> 1, 2 -> 4)
331bbd4b852SWilliam Wang
332bbd4b852SWilliam Wang  val backendTriggerHitReg = Reg(Vec(6, Bool()))
333bbd4b852SWilliam Wang  backendTriggerHitReg := VecInit(Seq.fill(6)(false.B))
334bbd4b852SWilliam Wang
335bbd4b852SWilliam Wang  when(state === s_cache_req){
336bbd4b852SWilliam Wang    // store trigger
337bbd4b852SWilliam Wang    val store_hit = Wire(Vec(3, Bool()))
338bbd4b852SWilliam Wang    for (j <- 0 until 3) {
339bbd4b852SWilliam Wang        store_hit(j) := !tdata(sTriggerMapping(j)).select && TriggerCmp(
340bbd4b852SWilliam Wang          vaddr,
341bbd4b852SWilliam Wang          tdata(sTriggerMapping(j)).tdata2,
342bbd4b852SWilliam Wang          tdata(sTriggerMapping(j)).matchType,
343bbd4b852SWilliam Wang          tEnable(sTriggerMapping(j))
344bbd4b852SWilliam Wang        )
345bbd4b852SWilliam Wang       backendTriggerHitReg(sTriggerMapping(j)) := store_hit(j)
346bbd4b852SWilliam Wang     }
347bbd4b852SWilliam Wang
348bbd4b852SWilliam Wang    when(tdata(0).chain) {
349bbd4b852SWilliam Wang      backendTriggerHitReg(0) := store_hit(0) && store_hit(1)
350bbd4b852SWilliam Wang      backendTriggerHitReg(1) := store_hit(0) && store_hit(1)
351bbd4b852SWilliam Wang    }
352bbd4b852SWilliam Wang
353bbd4b852SWilliam Wang    when(!in.uop.cf.trigger.backendEn(0)) {
354bbd4b852SWilliam Wang      backendTriggerHitReg(4) := false.B
355bbd4b852SWilliam Wang    }
356bbd4b852SWilliam Wang
357bbd4b852SWilliam Wang    // load trigger
358bbd4b852SWilliam Wang    val load_hit = Wire(Vec(3, Bool()))
359bbd4b852SWilliam Wang    for (j <- 0 until 3) {
360bbd4b852SWilliam Wang
361bbd4b852SWilliam Wang      val addrHit = TriggerCmp(
362bbd4b852SWilliam Wang        vaddr,
363bbd4b852SWilliam Wang        tdata(lTriggerMapping(j)).tdata2,
364bbd4b852SWilliam Wang        tdata(lTriggerMapping(j)).matchType,
365bbd4b852SWilliam Wang        tEnable(lTriggerMapping(j))
366bbd4b852SWilliam Wang      )
367bbd4b852SWilliam Wang      load_hit(j) := addrHit && !tdata(lTriggerMapping(j)).select
368bbd4b852SWilliam Wang      backendTriggerHitReg(lTriggerMapping(j)) := load_hit(j)
369bbd4b852SWilliam Wang    }
370bbd4b852SWilliam Wang    when(tdata(2).chain) {
371bbd4b852SWilliam Wang      backendTriggerHitReg(2) := load_hit(0) && load_hit(1)
372bbd4b852SWilliam Wang      backendTriggerHitReg(3) := load_hit(0) && load_hit(1)
373bbd4b852SWilliam Wang    }
374bbd4b852SWilliam Wang    when(!in.uop.cf.trigger.backendEn(1)) {
375bbd4b852SWilliam Wang      backendTriggerHitReg(5) := false.B
376bbd4b852SWilliam Wang    }
377bbd4b852SWilliam Wang  }
378bbd4b852SWilliam Wang
379bbd4b852SWilliam Wang  // addr trigger do cmp at s_cache_req
380bbd4b852SWilliam Wang  // trigger result is used at s_finish
381bbd4b852SWilliam Wang  // thus we can delay it safely
382bbd4b852SWilliam Wang  io.out.bits.uop.cf.trigger.backendHit := VecInit(Seq.fill(6)(false.B))
383bbd4b852SWilliam Wang  when(isLr){
384bbd4b852SWilliam Wang    // enable load trigger
385bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(2) := backendTriggerHitReg(2)
386bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(3) := backendTriggerHitReg(3)
387bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(5) := backendTriggerHitReg(5)
388bbd4b852SWilliam Wang  }.otherwise{
389bbd4b852SWilliam Wang    // enable store trigger
390bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(0) := backendTriggerHitReg(0)
391bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(1) := backendTriggerHitReg(1)
392bbd4b852SWilliam Wang    io.out.bits.uop.cf.trigger.backendHit(4) := backendTriggerHitReg(4)
393bbd4b852SWilliam Wang  }
394bbd4b852SWilliam Wang
3951545277aSYinan Xu  if (env.EnableDifftest) {
3962225d46eSJiawei Lin    val difftest = Module(new DifftestAtomicEvent)
3972225d46eSJiawei Lin    difftest.io.clock      := clock
3985668a921SJiawei Lin    difftest.io.coreid     := io.hartId
3994f39c746SYinan Xu    difftest.io.atomicResp := io.dcache.resp.fire
4002225d46eSJiawei Lin    difftest.io.atomicAddr := paddr_reg
4012225d46eSJiawei Lin    difftest.io.atomicData := data_reg
4022225d46eSJiawei Lin    difftest.io.atomicMask := mask_reg
4032225d46eSJiawei Lin    difftest.io.atomicFuop := fuop_reg
4042225d46eSJiawei Lin    difftest.io.atomicOut  := resp_data_wire
4058a5bdd64Swangkaifan  }
406e13d224aSYinan Xu
407e13d224aSYinan Xu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
408e13d224aSYinan Xu    val uop = io.out.bits.uop
409e13d224aSYinan Xu    val difftest = Module(new DifftestLrScEvent)
410e13d224aSYinan Xu    difftest.io.clock := clock
411e13d224aSYinan Xu    difftest.io.coreid := io.hartId
412e13d224aSYinan Xu    difftest.io.valid := io.out.fire &&
413e13d224aSYinan Xu      (uop.ctrl.fuOpType === LSUOpType.sc_d || uop.ctrl.fuOpType === LSUOpType.sc_w)
414e13d224aSYinan Xu    difftest.io.success := is_lrsc_valid
415e13d224aSYinan Xu  }
416024ee227SWilliam Wang}
417