1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 23024ee227SWilliam Wangimport xiangshan._ 241f0e2dc7SJiawei Linimport xiangshan.cache.{DCacheWordIOWithVaddr, MemoryOpConstants} 256d5ddbceSLemoverimport xiangshan.cache.mmu.{TlbRequestIO, TlbCmd} 262225d46eSJiawei Linimport difftest._ 27024ee227SWilliam Wang 282225d46eSJiawei Linclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants{ 29024ee227SWilliam Wang val io = IO(new Bundle() { 30024ee227SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 311b7adedcSWilliam Wang val storeDataIn = Flipped(Valid(new StoreDataBundle)) // src2 from rs 32024ee227SWilliam Wang val out = Decoupled(new ExuOutput) 331f0e2dc7SJiawei Lin val dcache = new DCacheWordIOWithVaddr 34024ee227SWilliam Wang val dtlb = new TlbRequestIO 3564e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 36024ee227SWilliam Wang val flush_sbuffer = new SbufferFlushBundle 371b7adedcSWilliam Wang val rsFeedback = ValidIO(new RSFeedback) 38024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 392d7c7105SYinan Xu val flush = Input(Bool()) 4011131ea4SYinan Xu val exceptionAddr = ValidIO(UInt(VAddrBits.W)) 41024ee227SWilliam Wang }) 42024ee227SWilliam Wang 43024ee227SWilliam Wang //------------------------------------------------------- 44024ee227SWilliam Wang // Atomics Memory Accsess FSM 45024ee227SWilliam Wang //------------------------------------------------------- 46024ee227SWilliam Wang val s_invalid :: s_tlb :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(7) 47024ee227SWilliam Wang val state = RegInit(s_invalid) 481b7adedcSWilliam Wang val data_valid = RegInit(false.B) 49024ee227SWilliam Wang val in = Reg(new ExuInput()) 500d045bd0SYinan Xu val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec())) 51024ee227SWilliam Wang val atom_override_xtval = RegInit(false.B) 52024ee227SWilliam Wang // paddr after translation 53024ee227SWilliam Wang val paddr = Reg(UInt()) 54cff68e26SWilliam Wang val is_mmio = Reg(Bool()) 55024ee227SWilliam Wang // dcache response data 56024ee227SWilliam Wang val resp_data = Reg(UInt()) 57f97664b3Swangkaifan val resp_data_wire = WireInit(0.U) 58024ee227SWilliam Wang val is_lrsc_valid = Reg(Bool()) 59024ee227SWilliam Wang 608a5bdd64Swangkaifan // Difftest signals 618a5bdd64Swangkaifan val paddr_reg = Reg(UInt(64.W)) 628a5bdd64Swangkaifan val data_reg = Reg(UInt(64.W)) 638a5bdd64Swangkaifan val mask_reg = Reg(UInt(8.W)) 64f97664b3Swangkaifan val fuop_reg = Reg(UInt(8.W)) 658a5bdd64Swangkaifan 6611131ea4SYinan Xu io.exceptionAddr.valid := atom_override_xtval 672bd5334dSYinan Xu io.exceptionAddr.bits := in.src(0) 68024ee227SWilliam Wang 69024ee227SWilliam Wang // assign default value to output signals 70024ee227SWilliam Wang io.in.ready := false.B 71024ee227SWilliam Wang io.out.valid := false.B 72024ee227SWilliam Wang io.out.bits := DontCare 73024ee227SWilliam Wang 74024ee227SWilliam Wang io.dcache.req.valid := false.B 75024ee227SWilliam Wang io.dcache.req.bits := DontCare 76024ee227SWilliam Wang io.dcache.resp.ready := false.B 77024ee227SWilliam Wang 78024ee227SWilliam Wang io.dtlb.req.valid := false.B 79024ee227SWilliam Wang io.dtlb.req.bits := DontCare 800cab60cbSZhangZifei io.dtlb.resp.ready := false.B 81024ee227SWilliam Wang 82024ee227SWilliam Wang io.flush_sbuffer.valid := false.B 83024ee227SWilliam Wang 84024ee227SWilliam Wang XSDebug("state: %d\n", state) 85024ee227SWilliam Wang 86024ee227SWilliam Wang when (state === s_invalid) { 87024ee227SWilliam Wang io.in.ready := true.B 88024ee227SWilliam Wang when (io.in.fire()) { 89024ee227SWilliam Wang in := io.in.bits 902bd5334dSYinan Xu in.src(1) := in.src(1) // leave src2 unchanged 9182d348fbSLemover state := s_tlb 921b7adedcSWilliam Wang } 9382d348fbSLemover } 9482d348fbSLemover 951b7adedcSWilliam Wang when (io.storeDataIn.fire()) { 962bd5334dSYinan Xu in.src(1) := io.storeDataIn.bits.data 971b7adedcSWilliam Wang data_valid := true.B 981b7adedcSWilliam Wang } 99024ee227SWilliam Wang 10082d348fbSLemover assert(!(io.storeDataIn.fire() && data_valid), "atomic unit re-receive data") 1011b7adedcSWilliam Wang 102024ee227SWilliam Wang // Send TLB feedback to store issue queue 103024ee227SWilliam Wang // we send feedback right after we receives request 104024ee227SWilliam Wang // also, we always treat amo as tlb hit 105024ee227SWilliam Wang // since we will continue polling tlb all by ourself 1061b7adedcSWilliam Wang io.rsFeedback.valid := RegNext(RegNext(io.in.valid)) 1071b7adedcSWilliam Wang io.rsFeedback.bits.hit := true.B 1081b7adedcSWilliam Wang io.rsFeedback.bits.rsIdx := RegEnable(io.rsIdx, io.in.valid) 1091b7adedcSWilliam Wang io.rsFeedback.bits.flushState := DontCare 1101b7adedcSWilliam Wang io.rsFeedback.bits.sourceType := DontCare 111024ee227SWilliam Wang 112024ee227SWilliam Wang // tlb translation, manipulating signals && deal with exception 113024ee227SWilliam Wang when (state === s_tlb) { 114024ee227SWilliam Wang // send req to dtlb 115024ee227SWilliam Wang // keep firing until tlb hit 116024ee227SWilliam Wang io.dtlb.req.valid := true.B 1172bd5334dSYinan Xu io.dtlb.req.bits.vaddr := in.src(0) 118*9aca92b9SYinan Xu io.dtlb.req.bits.robIdx := in.uop.robIdx 119cd3bc62aSZhangZifei io.dtlb.resp.ready := true.B 120024ee227SWilliam Wang val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d 121cff68e26SWilliam Wang io.dtlb.req.bits.cmd := Mux(is_lr, TlbCmd.atom_read, TlbCmd.atom_write) 122024ee227SWilliam Wang io.dtlb.req.bits.debug.pc := in.uop.cf.pc 123ee46cd6eSLemover io.dtlb.req.bits.debug.isFirstIssue := false.B 124024ee227SWilliam Wang 1250cab60cbSZhangZifei when(io.dtlb.resp.fire && !io.dtlb.resp.bits.miss){ 126024ee227SWilliam Wang // exception handling 127024ee227SWilliam Wang val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List( 128024ee227SWilliam Wang "b00".U -> true.B, //b 1292bd5334dSYinan Xu "b01".U -> (in.src(0)(0) === 0.U), //h 1302bd5334dSYinan Xu "b10".U -> (in.src(0)(1,0) === 0.U), //w 1312bd5334dSYinan Xu "b11".U -> (in.src(0)(2,0) === 0.U) //d 132024ee227SWilliam Wang )) 1330d045bd0SYinan Xu exceptionVec(storeAddrMisaligned) := !addrAligned 1340d045bd0SYinan Xu exceptionVec(storePageFault) := io.dtlb.resp.bits.excp.pf.st 1350d045bd0SYinan Xu exceptionVec(loadPageFault) := io.dtlb.resp.bits.excp.pf.ld 136a8e04b1dSYinan Xu exceptionVec(storeAccessFault) := io.dtlb.resp.bits.excp.af.st 137a8e04b1dSYinan Xu exceptionVec(loadAccessFault) := io.dtlb.resp.bits.excp.af.ld 138cff68e26SWilliam Wang val exception = !addrAligned || 139cff68e26SWilliam Wang io.dtlb.resp.bits.excp.pf.st || 140cff68e26SWilliam Wang io.dtlb.resp.bits.excp.pf.ld || 141cff68e26SWilliam Wang io.dtlb.resp.bits.excp.af.st || 142cff68e26SWilliam Wang io.dtlb.resp.bits.excp.af.ld 143cff68e26SWilliam Wang is_mmio := io.dtlb.resp.bits.mmio 144024ee227SWilliam Wang when (exception) { 145024ee227SWilliam Wang // check for exceptions 146024ee227SWilliam Wang // if there are exceptions, no need to execute it 147024ee227SWilliam Wang state := s_finish 148024ee227SWilliam Wang atom_override_xtval := true.B 149024ee227SWilliam Wang } .otherwise { 150024ee227SWilliam Wang paddr := io.dtlb.resp.bits.paddr 151024ee227SWilliam Wang state := s_flush_sbuffer_req 152024ee227SWilliam Wang } 153024ee227SWilliam Wang } 154024ee227SWilliam Wang } 155024ee227SWilliam Wang 156024ee227SWilliam Wang 157024ee227SWilliam Wang when (state === s_flush_sbuffer_req) { 158024ee227SWilliam Wang io.flush_sbuffer.valid := true.B 159024ee227SWilliam Wang state := s_flush_sbuffer_resp 160024ee227SWilliam Wang } 161024ee227SWilliam Wang 162024ee227SWilliam Wang when (state === s_flush_sbuffer_resp) { 163024ee227SWilliam Wang when (io.flush_sbuffer.empty) { 164024ee227SWilliam Wang state := s_cache_req 165024ee227SWilliam Wang } 166024ee227SWilliam Wang } 167024ee227SWilliam Wang 168024ee227SWilliam Wang when (state === s_cache_req) { 169024ee227SWilliam Wang io.dcache.req.valid := true.B 170024ee227SWilliam Wang io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List( 171024ee227SWilliam Wang LSUOpType.lr_w -> M_XLR, 172024ee227SWilliam Wang LSUOpType.sc_w -> M_XSC, 173024ee227SWilliam Wang LSUOpType.amoswap_w -> M_XA_SWAP, 174024ee227SWilliam Wang LSUOpType.amoadd_w -> M_XA_ADD, 175024ee227SWilliam Wang LSUOpType.amoxor_w -> M_XA_XOR, 176024ee227SWilliam Wang LSUOpType.amoand_w -> M_XA_AND, 177024ee227SWilliam Wang LSUOpType.amoor_w -> M_XA_OR, 178024ee227SWilliam Wang LSUOpType.amomin_w -> M_XA_MIN, 179024ee227SWilliam Wang LSUOpType.amomax_w -> M_XA_MAX, 180024ee227SWilliam Wang LSUOpType.amominu_w -> M_XA_MINU, 181024ee227SWilliam Wang LSUOpType.amomaxu_w -> M_XA_MAXU, 182024ee227SWilliam Wang 183024ee227SWilliam Wang LSUOpType.lr_d -> M_XLR, 184024ee227SWilliam Wang LSUOpType.sc_d -> M_XSC, 185024ee227SWilliam Wang LSUOpType.amoswap_d -> M_XA_SWAP, 186024ee227SWilliam Wang LSUOpType.amoadd_d -> M_XA_ADD, 187024ee227SWilliam Wang LSUOpType.amoxor_d -> M_XA_XOR, 188024ee227SWilliam Wang LSUOpType.amoand_d -> M_XA_AND, 189024ee227SWilliam Wang LSUOpType.amoor_d -> M_XA_OR, 190024ee227SWilliam Wang LSUOpType.amomin_d -> M_XA_MIN, 191024ee227SWilliam Wang LSUOpType.amomax_d -> M_XA_MAX, 192024ee227SWilliam Wang LSUOpType.amominu_d -> M_XA_MINU, 193024ee227SWilliam Wang LSUOpType.amomaxu_d -> M_XA_MAXU 194024ee227SWilliam Wang )) 195024ee227SWilliam Wang 196024ee227SWilliam Wang io.dcache.req.bits.addr := paddr 1971f0e2dc7SJiawei Lin io.dcache.req.bits.vaddr := in.src(0) // vaddr 1982bd5334dSYinan Xu io.dcache.req.bits.data := genWdata(in.src(1), in.uop.ctrl.fuOpType(1,0)) 199024ee227SWilliam Wang // TODO: atomics do need mask: fix mask 200024ee227SWilliam Wang io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0)) 201743bc277SAllen io.dcache.req.bits.id := DontCare 202024ee227SWilliam Wang 203024ee227SWilliam Wang when(io.dcache.req.fire()){ 204024ee227SWilliam Wang state := s_cache_resp 2058a5bdd64Swangkaifan paddr_reg := io.dcache.req.bits.addr 2068a5bdd64Swangkaifan data_reg := io.dcache.req.bits.data 2078a5bdd64Swangkaifan mask_reg := io.dcache.req.bits.mask 208f97664b3Swangkaifan fuop_reg := in.uop.ctrl.fuOpType 209024ee227SWilliam Wang } 210024ee227SWilliam Wang } 211024ee227SWilliam Wang 212024ee227SWilliam Wang when (state === s_cache_resp) { 21382d348fbSLemover io.dcache.resp.ready := data_valid 214024ee227SWilliam Wang when(io.dcache.resp.fire()) { 215743bc277SAllen is_lrsc_valid := io.dcache.resp.bits.id 216024ee227SWilliam Wang val rdata = io.dcache.resp.bits.data 217024ee227SWilliam Wang val rdataSel = LookupTree(paddr(2, 0), List( 218024ee227SWilliam Wang "b000".U -> rdata(63, 0), 219024ee227SWilliam Wang "b001".U -> rdata(63, 8), 220024ee227SWilliam Wang "b010".U -> rdata(63, 16), 221024ee227SWilliam Wang "b011".U -> rdata(63, 24), 222024ee227SWilliam Wang "b100".U -> rdata(63, 32), 223024ee227SWilliam Wang "b101".U -> rdata(63, 40), 224024ee227SWilliam Wang "b110".U -> rdata(63, 48), 225024ee227SWilliam Wang "b111".U -> rdata(63, 56) 226024ee227SWilliam Wang )) 227024ee227SWilliam Wang 228f97664b3Swangkaifan resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List( 229024ee227SWilliam Wang LSUOpType.lr_w -> SignExt(rdataSel(31, 0), XLEN), 2307962cc88SWilliam Wang LSUOpType.sc_w -> rdata, 231024ee227SWilliam Wang LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN), 232024ee227SWilliam Wang LSUOpType.amoadd_w -> SignExt(rdataSel(31, 0), XLEN), 233024ee227SWilliam Wang LSUOpType.amoxor_w -> SignExt(rdataSel(31, 0), XLEN), 234024ee227SWilliam Wang LSUOpType.amoand_w -> SignExt(rdataSel(31, 0), XLEN), 235024ee227SWilliam Wang LSUOpType.amoor_w -> SignExt(rdataSel(31, 0), XLEN), 236024ee227SWilliam Wang LSUOpType.amomin_w -> SignExt(rdataSel(31, 0), XLEN), 237024ee227SWilliam Wang LSUOpType.amomax_w -> SignExt(rdataSel(31, 0), XLEN), 238024ee227SWilliam Wang LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN), 239024ee227SWilliam Wang LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN), 240024ee227SWilliam Wang 241024ee227SWilliam Wang LSUOpType.lr_d -> SignExt(rdataSel(63, 0), XLEN), 2427962cc88SWilliam Wang LSUOpType.sc_d -> rdata, 243024ee227SWilliam Wang LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN), 244024ee227SWilliam Wang LSUOpType.amoadd_d -> SignExt(rdataSel(63, 0), XLEN), 245024ee227SWilliam Wang LSUOpType.amoxor_d -> SignExt(rdataSel(63, 0), XLEN), 246024ee227SWilliam Wang LSUOpType.amoand_d -> SignExt(rdataSel(63, 0), XLEN), 247024ee227SWilliam Wang LSUOpType.amoor_d -> SignExt(rdataSel(63, 0), XLEN), 248024ee227SWilliam Wang LSUOpType.amomin_d -> SignExt(rdataSel(63, 0), XLEN), 249024ee227SWilliam Wang LSUOpType.amomax_d -> SignExt(rdataSel(63, 0), XLEN), 250024ee227SWilliam Wang LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN), 251024ee227SWilliam Wang LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN) 252024ee227SWilliam Wang )) 253024ee227SWilliam Wang 254f97664b3Swangkaifan resp_data := resp_data_wire 255024ee227SWilliam Wang state := s_finish 256024ee227SWilliam Wang } 257024ee227SWilliam Wang } 258024ee227SWilliam Wang 259024ee227SWilliam Wang when (state === s_finish) { 260024ee227SWilliam Wang io.out.valid := true.B 261024ee227SWilliam Wang io.out.bits.uop := in.uop 2620d045bd0SYinan Xu io.out.bits.uop.cf.exceptionVec := exceptionVec 263024ee227SWilliam Wang io.out.bits.uop.diffTestDebugLrScValid := is_lrsc_valid 264024ee227SWilliam Wang io.out.bits.data := resp_data 265024ee227SWilliam Wang io.out.bits.redirectValid := false.B 266024ee227SWilliam Wang io.out.bits.redirect := DontCare 267cff68e26SWilliam Wang io.out.bits.debug.isMMIO := is_mmio 26807635e87Swangkaifan io.out.bits.debug.paddr := paddr 269024ee227SWilliam Wang when (io.out.fire()) { 270024ee227SWilliam Wang XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data) 271024ee227SWilliam Wang state := s_invalid 272024ee227SWilliam Wang } 27382d348fbSLemover data_valid := false.B 274024ee227SWilliam Wang } 275024ee227SWilliam Wang 2762d7c7105SYinan Xu when(io.redirect.valid || io.flush){ 277024ee227SWilliam Wang atom_override_xtval := false.B 278024ee227SWilliam Wang } 2798a5bdd64Swangkaifan 2803d499721Swangkaifan if (!env.FPGAPlatform) { 2812225d46eSJiawei Lin val difftest = Module(new DifftestAtomicEvent) 2822225d46eSJiawei Lin difftest.io.clock := clock 28368f25d38Swakafa difftest.io.coreid := hardId.U 2842225d46eSJiawei Lin difftest.io.atomicResp := io.dcache.resp.fire() 2852225d46eSJiawei Lin difftest.io.atomicAddr := paddr_reg 2862225d46eSJiawei Lin difftest.io.atomicData := data_reg 2872225d46eSJiawei Lin difftest.io.atomicMask := mask_reg 2882225d46eSJiawei Lin difftest.io.atomicFuop := fuop_reg 2892225d46eSJiawei Lin difftest.io.atomicOut := resp_data_wire 2908a5bdd64Swangkaifan } 291024ee227SWilliam Wang} 292