1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 23024ee227SWilliam Wangimport xiangshan._ 246786cfb7SWilliam Wangimport xiangshan.cache.{AtomicWordIO, MemoryOpConstants} 25ca2f90a6SLemoverimport xiangshan.cache.mmu.{TlbCmd, TlbRequestIO} 262225d46eSJiawei Linimport difftest._ 276ab6918fSYinan Xuimport xiangshan.ExceptionNO._ 28ca2f90a6SLemoverimport xiangshan.backend.fu.PMPRespBundle 29024ee227SWilliam Wang 302225d46eSJiawei Linclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants{ 31024ee227SWilliam Wang val io = IO(new Bundle() { 325668a921SJiawei Lin val hartId = Input(UInt(8.W)) 33024ee227SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 346ab6918fSYinan Xu val storeDataIn = Flipped(Valid(new ExuOutput)) // src2 from rs 35024ee227SWilliam Wang val out = Decoupled(new ExuOutput) 366786cfb7SWilliam Wang val dcache = new AtomicWordIO 37024ee227SWilliam Wang val dtlb = new TlbRequestIO 38ca2f90a6SLemover val pmpResp = Flipped(new PMPRespBundle()) 3964e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 40024ee227SWilliam Wang val flush_sbuffer = new SbufferFlushBundle 41d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback) 42024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 4311131ea4SYinan Xu val exceptionAddr = ValidIO(UInt(VAddrBits.W)) 44026615fcSWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 45024ee227SWilliam Wang }) 46024ee227SWilliam Wang 47024ee227SWilliam Wang //------------------------------------------------------- 48024ee227SWilliam Wang // Atomics Memory Accsess FSM 49024ee227SWilliam Wang //------------------------------------------------------- 50ca2f90a6SLemover val s_invalid :: s_tlb :: s_pm :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(8) 51024ee227SWilliam Wang val state = RegInit(s_invalid) 521b7adedcSWilliam Wang val data_valid = RegInit(false.B) 53024ee227SWilliam Wang val in = Reg(new ExuInput()) 540d045bd0SYinan Xu val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec())) 55024ee227SWilliam Wang val atom_override_xtval = RegInit(false.B) 56bbd4b852SWilliam Wang val isLr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d 57024ee227SWilliam Wang // paddr after translation 58024ee227SWilliam Wang val paddr = Reg(UInt()) 59bbd4b852SWilliam Wang val vaddr = in.src(0) 60cff68e26SWilliam Wang val is_mmio = Reg(Bool()) 61cba0a7e0SLemover // pmp check 62cba0a7e0SLemover val static_pm = Reg(Valid(Bool())) // valid for static, bits for mmio 63024ee227SWilliam Wang // dcache response data 64024ee227SWilliam Wang val resp_data = Reg(UInt()) 65f97664b3Swangkaifan val resp_data_wire = WireInit(0.U) 66024ee227SWilliam Wang val is_lrsc_valid = Reg(Bool()) 67024ee227SWilliam Wang 68bbd4b852SWilliam Wang 698a5bdd64Swangkaifan // Difftest signals 708a5bdd64Swangkaifan val paddr_reg = Reg(UInt(64.W)) 718a5bdd64Swangkaifan val data_reg = Reg(UInt(64.W)) 728a5bdd64Swangkaifan val mask_reg = Reg(UInt(8.W)) 73f97664b3Swangkaifan val fuop_reg = Reg(UInt(8.W)) 748a5bdd64Swangkaifan 7511131ea4SYinan Xu io.exceptionAddr.valid := atom_override_xtval 762bd5334dSYinan Xu io.exceptionAddr.bits := in.src(0) 77024ee227SWilliam Wang 78024ee227SWilliam Wang // assign default value to output signals 79024ee227SWilliam Wang io.in.ready := false.B 80024ee227SWilliam Wang io.out.valid := false.B 81024ee227SWilliam Wang io.out.bits := DontCare 82024ee227SWilliam Wang 83024ee227SWilliam Wang io.dcache.req.valid := false.B 84024ee227SWilliam Wang io.dcache.req.bits := DontCare 85024ee227SWilliam Wang io.dcache.resp.ready := false.B 86024ee227SWilliam Wang 87024ee227SWilliam Wang io.dtlb.req.valid := false.B 88024ee227SWilliam Wang io.dtlb.req.bits := DontCare 89*9930e66fSLemover io.dtlb.resp.ready := true.B 90024ee227SWilliam Wang 91024ee227SWilliam Wang io.flush_sbuffer.valid := false.B 92024ee227SWilliam Wang 93024ee227SWilliam Wang XSDebug("state: %d\n", state) 94024ee227SWilliam Wang 95024ee227SWilliam Wang when (state === s_invalid) { 96024ee227SWilliam Wang io.in.ready := true.B 97024ee227SWilliam Wang when (io.in.fire()) { 98024ee227SWilliam Wang in := io.in.bits 992bd5334dSYinan Xu in.src(1) := in.src(1) // leave src2 unchanged 10082d348fbSLemover state := s_tlb 1011b7adedcSWilliam Wang } 10282d348fbSLemover } 10382d348fbSLemover 1041b7adedcSWilliam Wang when (io.storeDataIn.fire()) { 1052bd5334dSYinan Xu in.src(1) := io.storeDataIn.bits.data 1061b7adedcSWilliam Wang data_valid := true.B 1071b7adedcSWilliam Wang } 108024ee227SWilliam Wang 10982d348fbSLemover assert(!(io.storeDataIn.fire() && data_valid), "atomic unit re-receive data") 1101b7adedcSWilliam Wang 111024ee227SWilliam Wang // Send TLB feedback to store issue queue 112024ee227SWilliam Wang // we send feedback right after we receives request 113024ee227SWilliam Wang // also, we always treat amo as tlb hit 114024ee227SWilliam Wang // since we will continue polling tlb all by ourself 115d87b76aaSWilliam Wang io.feedbackSlow.valid := RegNext(RegNext(io.in.valid)) 116d87b76aaSWilliam Wang io.feedbackSlow.bits.hit := true.B 117d87b76aaSWilliam Wang io.feedbackSlow.bits.rsIdx := RegEnable(io.rsIdx, io.in.valid) 118d87b76aaSWilliam Wang io.feedbackSlow.bits.flushState := DontCare 119d87b76aaSWilliam Wang io.feedbackSlow.bits.sourceType := DontCare 120c7160cd3SWilliam Wang io.feedbackSlow.bits.dataInvalidSqIdx := DontCare 121024ee227SWilliam Wang 122024ee227SWilliam Wang // tlb translation, manipulating signals && deal with exception 123024ee227SWilliam Wang when (state === s_tlb) { 124024ee227SWilliam Wang // send req to dtlb 125024ee227SWilliam Wang // keep firing until tlb hit 126024ee227SWilliam Wang io.dtlb.req.valid := true.B 1272bd5334dSYinan Xu io.dtlb.req.bits.vaddr := in.src(0) 128024ee227SWilliam Wang val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d 129cff68e26SWilliam Wang io.dtlb.req.bits.cmd := Mux(is_lr, TlbCmd.atom_read, TlbCmd.atom_write) 130f1fe8698SLemover io.dtlb.req.bits.debug.robIdx := in.uop.robIdx 131024ee227SWilliam Wang io.dtlb.req.bits.debug.pc := in.uop.cf.pc 132ee46cd6eSLemover io.dtlb.req.bits.debug.isFirstIssue := false.B 133024ee227SWilliam Wang 134e9092fe2SLemover when(io.dtlb.resp.fire){ 135e9092fe2SLemover paddr := io.dtlb.resp.bits.paddr 136024ee227SWilliam Wang // exception handling 137024ee227SWilliam Wang val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List( 138024ee227SWilliam Wang "b00".U -> true.B, //b 1392bd5334dSYinan Xu "b01".U -> (in.src(0)(0) === 0.U), //h 1402bd5334dSYinan Xu "b10".U -> (in.src(0)(1,0) === 0.U), //w 1412bd5334dSYinan Xu "b11".U -> (in.src(0)(2,0) === 0.U) //d 142024ee227SWilliam Wang )) 1430d045bd0SYinan Xu exceptionVec(storeAddrMisaligned) := !addrAligned 1440d045bd0SYinan Xu exceptionVec(storePageFault) := io.dtlb.resp.bits.excp.pf.st 1450d045bd0SYinan Xu exceptionVec(loadPageFault) := io.dtlb.resp.bits.excp.pf.ld 146a8e04b1dSYinan Xu exceptionVec(storeAccessFault) := io.dtlb.resp.bits.excp.af.st 147a8e04b1dSYinan Xu exceptionVec(loadAccessFault) := io.dtlb.resp.bits.excp.af.ld 148cba0a7e0SLemover static_pm := io.dtlb.resp.bits.static_pm 149e9092fe2SLemover 150e9092fe2SLemover when (!io.dtlb.resp.bits.miss) { 151e9092fe2SLemover when (!addrAligned) { 152e9092fe2SLemover // NOTE: when addrAligned, do not need to wait tlb actually 153e9092fe2SLemover // check for miss aligned exceptions, tlb exception are checked next cycle for timing 154024ee227SWilliam Wang // if there are exceptions, no need to execute it 155024ee227SWilliam Wang state := s_finish 156024ee227SWilliam Wang atom_override_xtval := true.B 157024ee227SWilliam Wang } .otherwise { 158ca2f90a6SLemover state := s_pm 159024ee227SWilliam Wang } 160024ee227SWilliam Wang } 161024ee227SWilliam Wang } 162e9092fe2SLemover } 163024ee227SWilliam Wang 164ca2f90a6SLemover when (state === s_pm) { 165cba0a7e0SLemover val pmp = WireInit(io.pmpResp) 166cba0a7e0SLemover when (static_pm.valid) { 167cba0a7e0SLemover pmp.ld := false.B 168cba0a7e0SLemover pmp.st := false.B 169cba0a7e0SLemover pmp.instr := false.B 170cba0a7e0SLemover pmp.mmio := static_pm.bits 171cba0a7e0SLemover } 172cba0a7e0SLemover is_mmio := pmp.mmio 173e9092fe2SLemover // NOTE: only handle load/store exception here, if other exception happens, don't send here 174e9092fe2SLemover val exception_va = exceptionVec(storePageFault) || exceptionVec(loadPageFault) || 175e9092fe2SLemover exceptionVec(storeAccessFault) || exceptionVec(loadAccessFault) 176cba0a7e0SLemover val exception_pa = pmp.st 177e9092fe2SLemover when (exception_va || exception_pa) { 178ca2f90a6SLemover state := s_finish 179ca2f90a6SLemover atom_override_xtval := true.B 180ca2f90a6SLemover }.otherwise { 181ca2f90a6SLemover state := s_flush_sbuffer_req 182ca2f90a6SLemover } 183ca2f90a6SLemover } 184024ee227SWilliam Wang 185024ee227SWilliam Wang when (state === s_flush_sbuffer_req) { 186024ee227SWilliam Wang io.flush_sbuffer.valid := true.B 187024ee227SWilliam Wang state := s_flush_sbuffer_resp 188024ee227SWilliam Wang } 189024ee227SWilliam Wang 190024ee227SWilliam Wang when (state === s_flush_sbuffer_resp) { 191024ee227SWilliam Wang when (io.flush_sbuffer.empty) { 192024ee227SWilliam Wang state := s_cache_req 193024ee227SWilliam Wang } 194024ee227SWilliam Wang } 195024ee227SWilliam Wang 196024ee227SWilliam Wang when (state === s_cache_req) { 197024ee227SWilliam Wang io.dcache.req.valid := true.B 198024ee227SWilliam Wang io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List( 199024ee227SWilliam Wang LSUOpType.lr_w -> M_XLR, 200024ee227SWilliam Wang LSUOpType.sc_w -> M_XSC, 201024ee227SWilliam Wang LSUOpType.amoswap_w -> M_XA_SWAP, 202024ee227SWilliam Wang LSUOpType.amoadd_w -> M_XA_ADD, 203024ee227SWilliam Wang LSUOpType.amoxor_w -> M_XA_XOR, 204024ee227SWilliam Wang LSUOpType.amoand_w -> M_XA_AND, 205024ee227SWilliam Wang LSUOpType.amoor_w -> M_XA_OR, 206024ee227SWilliam Wang LSUOpType.amomin_w -> M_XA_MIN, 207024ee227SWilliam Wang LSUOpType.amomax_w -> M_XA_MAX, 208024ee227SWilliam Wang LSUOpType.amominu_w -> M_XA_MINU, 209024ee227SWilliam Wang LSUOpType.amomaxu_w -> M_XA_MAXU, 210024ee227SWilliam Wang 211024ee227SWilliam Wang LSUOpType.lr_d -> M_XLR, 212024ee227SWilliam Wang LSUOpType.sc_d -> M_XSC, 213024ee227SWilliam Wang LSUOpType.amoswap_d -> M_XA_SWAP, 214024ee227SWilliam Wang LSUOpType.amoadd_d -> M_XA_ADD, 215024ee227SWilliam Wang LSUOpType.amoxor_d -> M_XA_XOR, 216024ee227SWilliam Wang LSUOpType.amoand_d -> M_XA_AND, 217024ee227SWilliam Wang LSUOpType.amoor_d -> M_XA_OR, 218024ee227SWilliam Wang LSUOpType.amomin_d -> M_XA_MIN, 219024ee227SWilliam Wang LSUOpType.amomax_d -> M_XA_MAX, 220024ee227SWilliam Wang LSUOpType.amominu_d -> M_XA_MINU, 221024ee227SWilliam Wang LSUOpType.amomaxu_d -> M_XA_MAXU 222024ee227SWilliam Wang )) 223024ee227SWilliam Wang 224024ee227SWilliam Wang io.dcache.req.bits.addr := paddr 2251f0e2dc7SJiawei Lin io.dcache.req.bits.vaddr := in.src(0) // vaddr 2262bd5334dSYinan Xu io.dcache.req.bits.data := genWdata(in.src(1), in.uop.ctrl.fuOpType(1,0)) 227024ee227SWilliam Wang // TODO: atomics do need mask: fix mask 228024ee227SWilliam Wang io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0)) 229743bc277SAllen io.dcache.req.bits.id := DontCare 230024ee227SWilliam Wang 231024ee227SWilliam Wang when(io.dcache.req.fire()){ 232024ee227SWilliam Wang state := s_cache_resp 2338a5bdd64Swangkaifan paddr_reg := io.dcache.req.bits.addr 2348a5bdd64Swangkaifan data_reg := io.dcache.req.bits.data 2358a5bdd64Swangkaifan mask_reg := io.dcache.req.bits.mask 236f97664b3Swangkaifan fuop_reg := in.uop.ctrl.fuOpType 237024ee227SWilliam Wang } 238024ee227SWilliam Wang } 239024ee227SWilliam Wang 240024ee227SWilliam Wang when (state === s_cache_resp) { 24182d348fbSLemover io.dcache.resp.ready := data_valid 242024ee227SWilliam Wang when(io.dcache.resp.fire()) { 243743bc277SAllen is_lrsc_valid := io.dcache.resp.bits.id 244024ee227SWilliam Wang val rdata = io.dcache.resp.bits.data 245024ee227SWilliam Wang val rdataSel = LookupTree(paddr(2, 0), List( 246024ee227SWilliam Wang "b000".U -> rdata(63, 0), 247024ee227SWilliam Wang "b001".U -> rdata(63, 8), 248024ee227SWilliam Wang "b010".U -> rdata(63, 16), 249024ee227SWilliam Wang "b011".U -> rdata(63, 24), 250024ee227SWilliam Wang "b100".U -> rdata(63, 32), 251024ee227SWilliam Wang "b101".U -> rdata(63, 40), 252024ee227SWilliam Wang "b110".U -> rdata(63, 48), 253024ee227SWilliam Wang "b111".U -> rdata(63, 56) 254024ee227SWilliam Wang )) 255024ee227SWilliam Wang 256f97664b3Swangkaifan resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List( 257024ee227SWilliam Wang LSUOpType.lr_w -> SignExt(rdataSel(31, 0), XLEN), 2587962cc88SWilliam Wang LSUOpType.sc_w -> rdata, 259024ee227SWilliam Wang LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN), 260024ee227SWilliam Wang LSUOpType.amoadd_w -> SignExt(rdataSel(31, 0), XLEN), 261024ee227SWilliam Wang LSUOpType.amoxor_w -> SignExt(rdataSel(31, 0), XLEN), 262024ee227SWilliam Wang LSUOpType.amoand_w -> SignExt(rdataSel(31, 0), XLEN), 263024ee227SWilliam Wang LSUOpType.amoor_w -> SignExt(rdataSel(31, 0), XLEN), 264024ee227SWilliam Wang LSUOpType.amomin_w -> SignExt(rdataSel(31, 0), XLEN), 265024ee227SWilliam Wang LSUOpType.amomax_w -> SignExt(rdataSel(31, 0), XLEN), 266024ee227SWilliam Wang LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN), 267024ee227SWilliam Wang LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN), 268024ee227SWilliam Wang 269024ee227SWilliam Wang LSUOpType.lr_d -> SignExt(rdataSel(63, 0), XLEN), 2707962cc88SWilliam Wang LSUOpType.sc_d -> rdata, 271024ee227SWilliam Wang LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN), 272024ee227SWilliam Wang LSUOpType.amoadd_d -> SignExt(rdataSel(63, 0), XLEN), 273024ee227SWilliam Wang LSUOpType.amoxor_d -> SignExt(rdataSel(63, 0), XLEN), 274024ee227SWilliam Wang LSUOpType.amoand_d -> SignExt(rdataSel(63, 0), XLEN), 275024ee227SWilliam Wang LSUOpType.amoor_d -> SignExt(rdataSel(63, 0), XLEN), 276024ee227SWilliam Wang LSUOpType.amomin_d -> SignExt(rdataSel(63, 0), XLEN), 277024ee227SWilliam Wang LSUOpType.amomax_d -> SignExt(rdataSel(63, 0), XLEN), 278024ee227SWilliam Wang LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN), 279024ee227SWilliam Wang LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN) 280024ee227SWilliam Wang )) 281024ee227SWilliam Wang 282026615fcSWilliam Wang when (io.dcache.resp.bits.error && io.csrCtrl.cache_error_enable) { 283026615fcSWilliam Wang exceptionVec(loadAccessFault) := isLr 284026615fcSWilliam Wang exceptionVec(storeAccessFault) := !isLr 285026615fcSWilliam Wang assert(!exceptionVec(loadAccessFault)) 286026615fcSWilliam Wang assert(!exceptionVec(storeAccessFault)) 287026615fcSWilliam Wang } 288026615fcSWilliam Wang 289f97664b3Swangkaifan resp_data := resp_data_wire 290024ee227SWilliam Wang state := s_finish 291024ee227SWilliam Wang } 292024ee227SWilliam Wang } 293024ee227SWilliam Wang 294024ee227SWilliam Wang when (state === s_finish) { 295024ee227SWilliam Wang io.out.valid := true.B 296024ee227SWilliam Wang io.out.bits.uop := in.uop 2970d045bd0SYinan Xu io.out.bits.uop.cf.exceptionVec := exceptionVec 298024ee227SWilliam Wang io.out.bits.data := resp_data 299024ee227SWilliam Wang io.out.bits.redirectValid := false.B 300024ee227SWilliam Wang io.out.bits.redirect := DontCare 301cff68e26SWilliam Wang io.out.bits.debug.isMMIO := is_mmio 30207635e87Swangkaifan io.out.bits.debug.paddr := paddr 303024ee227SWilliam Wang when (io.out.fire()) { 304024ee227SWilliam Wang XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data) 305024ee227SWilliam Wang state := s_invalid 306024ee227SWilliam Wang } 30782d348fbSLemover data_valid := false.B 308024ee227SWilliam Wang } 309024ee227SWilliam Wang 310f4b2089aSYinan Xu when (io.redirect.valid) { 311024ee227SWilliam Wang atom_override_xtval := false.B 312024ee227SWilliam Wang } 3138a5bdd64Swangkaifan 314bbd4b852SWilliam Wang // atomic trigger 315bbd4b852SWilliam Wang val csrCtrl = io.csrCtrl 316bbd4b852SWilliam Wang val tdata = Reg(Vec(6, new MatchTriggerIO)) 317bbd4b852SWilliam Wang val tEnable = RegInit(VecInit(Seq.fill(6)(false.B))) 318bbd4b852SWilliam Wang val en = csrCtrl.trigger_enable 319bbd4b852SWilliam Wang tEnable := VecInit(en(2), en (3), en(7), en(4), en(5), en(9)) 320bbd4b852SWilliam Wang when(csrCtrl.mem_trigger.t.valid) { 321bbd4b852SWilliam Wang tdata(csrCtrl.mem_trigger.t.bits.addr) := csrCtrl.mem_trigger.t.bits.tdata 322bbd4b852SWilliam Wang } 323bbd4b852SWilliam Wang val lTriggerMapping = Map(0 -> 2, 1 -> 3, 2 -> 5) 324bbd4b852SWilliam Wang val sTriggerMapping = Map(0 -> 0, 1 -> 1, 2 -> 4) 325bbd4b852SWilliam Wang 326bbd4b852SWilliam Wang val backendTriggerHitReg = Reg(Vec(6, Bool())) 327bbd4b852SWilliam Wang backendTriggerHitReg := VecInit(Seq.fill(6)(false.B)) 328bbd4b852SWilliam Wang 329bbd4b852SWilliam Wang when(state === s_cache_req){ 330bbd4b852SWilliam Wang // store trigger 331bbd4b852SWilliam Wang val store_hit = Wire(Vec(3, Bool())) 332bbd4b852SWilliam Wang for (j <- 0 until 3) { 333bbd4b852SWilliam Wang store_hit(j) := !tdata(sTriggerMapping(j)).select && TriggerCmp( 334bbd4b852SWilliam Wang vaddr, 335bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).tdata2, 336bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).matchType, 337bbd4b852SWilliam Wang tEnable(sTriggerMapping(j)) 338bbd4b852SWilliam Wang ) 339bbd4b852SWilliam Wang backendTriggerHitReg(sTriggerMapping(j)) := store_hit(j) 340bbd4b852SWilliam Wang } 341bbd4b852SWilliam Wang 342bbd4b852SWilliam Wang when(tdata(0).chain) { 343bbd4b852SWilliam Wang backendTriggerHitReg(0) := store_hit(0) && store_hit(1) 344bbd4b852SWilliam Wang backendTriggerHitReg(1) := store_hit(0) && store_hit(1) 345bbd4b852SWilliam Wang } 346bbd4b852SWilliam Wang 347bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(0)) { 348bbd4b852SWilliam Wang backendTriggerHitReg(4) := false.B 349bbd4b852SWilliam Wang } 350bbd4b852SWilliam Wang 351bbd4b852SWilliam Wang // load trigger 352bbd4b852SWilliam Wang val load_hit = Wire(Vec(3, Bool())) 353bbd4b852SWilliam Wang for (j <- 0 until 3) { 354bbd4b852SWilliam Wang 355bbd4b852SWilliam Wang val addrHit = TriggerCmp( 356bbd4b852SWilliam Wang vaddr, 357bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).tdata2, 358bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).matchType, 359bbd4b852SWilliam Wang tEnable(lTriggerMapping(j)) 360bbd4b852SWilliam Wang ) 361bbd4b852SWilliam Wang load_hit(j) := addrHit && !tdata(lTriggerMapping(j)).select 362bbd4b852SWilliam Wang backendTriggerHitReg(lTriggerMapping(j)) := load_hit(j) 363bbd4b852SWilliam Wang } 364bbd4b852SWilliam Wang when(tdata(2).chain) { 365bbd4b852SWilliam Wang backendTriggerHitReg(2) := load_hit(0) && load_hit(1) 366bbd4b852SWilliam Wang backendTriggerHitReg(3) := load_hit(0) && load_hit(1) 367bbd4b852SWilliam Wang } 368bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(1)) { 369bbd4b852SWilliam Wang backendTriggerHitReg(5) := false.B 370bbd4b852SWilliam Wang } 371bbd4b852SWilliam Wang } 372bbd4b852SWilliam Wang 373bbd4b852SWilliam Wang // addr trigger do cmp at s_cache_req 374bbd4b852SWilliam Wang // trigger result is used at s_finish 375bbd4b852SWilliam Wang // thus we can delay it safely 376bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit := VecInit(Seq.fill(6)(false.B)) 377bbd4b852SWilliam Wang when(isLr){ 378bbd4b852SWilliam Wang // enable load trigger 379bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(2) := backendTriggerHitReg(2) 380bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(3) := backendTriggerHitReg(3) 381bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(5) := backendTriggerHitReg(5) 382bbd4b852SWilliam Wang }.otherwise{ 383bbd4b852SWilliam Wang // enable store trigger 384bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(0) := backendTriggerHitReg(0) 385bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(1) := backendTriggerHitReg(1) 386bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(4) := backendTriggerHitReg(4) 387bbd4b852SWilliam Wang } 388bbd4b852SWilliam Wang 3891545277aSYinan Xu if (env.EnableDifftest) { 3902225d46eSJiawei Lin val difftest = Module(new DifftestAtomicEvent) 3912225d46eSJiawei Lin difftest.io.clock := clock 3925668a921SJiawei Lin difftest.io.coreid := io.hartId 3932225d46eSJiawei Lin difftest.io.atomicResp := io.dcache.resp.fire() 3942225d46eSJiawei Lin difftest.io.atomicAddr := paddr_reg 3952225d46eSJiawei Lin difftest.io.atomicData := data_reg 3962225d46eSJiawei Lin difftest.io.atomicMask := mask_reg 3972225d46eSJiawei Lin difftest.io.atomicFuop := fuop_reg 3982225d46eSJiawei Lin difftest.io.atomicOut := resp_data_wire 3998a5bdd64Swangkaifan } 400e13d224aSYinan Xu 401e13d224aSYinan Xu if (env.EnableDifftest || env.AlwaysBasicDiff) { 402e13d224aSYinan Xu val uop = io.out.bits.uop 403e13d224aSYinan Xu val difftest = Module(new DifftestLrScEvent) 404e13d224aSYinan Xu difftest.io.clock := clock 405e13d224aSYinan Xu difftest.io.coreid := io.hartId 406e13d224aSYinan Xu difftest.io.valid := io.out.fire && 407e13d224aSYinan Xu (uop.ctrl.fuOpType === LSUOpType.sc_d || uop.ctrl.fuOpType === LSUOpType.sc_w) 408e13d224aSYinan Xu difftest.io.success := is_lrsc_valid 409e13d224aSYinan Xu } 410024ee227SWilliam Wang} 411