1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 233c02ee8fSwakafaimport utility._ 24024ee227SWilliam Wangimport xiangshan._ 2562cb71fbShappy-lximport xiangshan.cache.{AtomicWordIO, MemoryOpConstants, HasDCacheParameters} 26ca2f90a6SLemoverimport xiangshan.cache.mmu.{TlbCmd, TlbRequestIO} 272225d46eSJiawei Linimport difftest._ 286ab6918fSYinan Xuimport xiangshan.ExceptionNO._ 29ca2f90a6SLemoverimport xiangshan.backend.fu.PMPRespBundle 30024ee227SWilliam Wang 3162cb71fbShappy-lxclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants with HasDCacheParameters{ 32024ee227SWilliam Wang val io = IO(new Bundle() { 335668a921SJiawei Lin val hartId = Input(UInt(8.W)) 34024ee227SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 356ab6918fSYinan Xu val storeDataIn = Flipped(Valid(new ExuOutput)) // src2 from rs 36024ee227SWilliam Wang val out = Decoupled(new ExuOutput) 376786cfb7SWilliam Wang val dcache = new AtomicWordIO 3803efd994Shappy-lx val dtlb = new TlbRequestIO(2) 39ca2f90a6SLemover val pmpResp = Flipped(new PMPRespBundle()) 4064e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 41024ee227SWilliam Wang val flush_sbuffer = new SbufferFlushBundle 42d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback) 43024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 4411131ea4SYinan Xu val exceptionAddr = ValidIO(UInt(VAddrBits.W)) 45026615fcSWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 46024ee227SWilliam Wang }) 47024ee227SWilliam Wang 48024ee227SWilliam Wang //------------------------------------------------------- 49024ee227SWilliam Wang // Atomics Memory Accsess FSM 50024ee227SWilliam Wang //------------------------------------------------------- 5152180d7eShappy-lx val s_invalid :: s_tlb_and_flush_sbuffer_req :: s_pm :: s_wait_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_cache_resp_latch :: s_finish :: Nil = Enum(8) 52024ee227SWilliam Wang val state = RegInit(s_invalid) 534f39c746SYinan Xu val out_valid = RegInit(false.B) 541b7adedcSWilliam Wang val data_valid = RegInit(false.B) 55024ee227SWilliam Wang val in = Reg(new ExuInput()) 560d045bd0SYinan Xu val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec())) 57024ee227SWilliam Wang val atom_override_xtval = RegInit(false.B) 58*6fce12d9SWilliam Wang val have_sent_first_tlb_req = RegInit(false.B) 59bbd4b852SWilliam Wang val isLr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d 60024ee227SWilliam Wang // paddr after translation 61024ee227SWilliam Wang val paddr = Reg(UInt()) 62bbd4b852SWilliam Wang val vaddr = in.src(0) 63cff68e26SWilliam Wang val is_mmio = Reg(Bool()) 64cba0a7e0SLemover // pmp check 65cba0a7e0SLemover val static_pm = Reg(Valid(Bool())) // valid for static, bits for mmio 66024ee227SWilliam Wang // dcache response data 67024ee227SWilliam Wang val resp_data = Reg(UInt()) 68f97664b3Swangkaifan val resp_data_wire = WireInit(0.U) 69024ee227SWilliam Wang val is_lrsc_valid = Reg(Bool()) 7052180d7eShappy-lx // sbuffer is empty or not 7152180d7eShappy-lx val sbuffer_empty = io.flush_sbuffer.empty 72024ee227SWilliam Wang 73bbd4b852SWilliam Wang 748a5bdd64Swangkaifan // Difftest signals 758a5bdd64Swangkaifan val paddr_reg = Reg(UInt(64.W)) 768a5bdd64Swangkaifan val data_reg = Reg(UInt(64.W)) 778a5bdd64Swangkaifan val mask_reg = Reg(UInt(8.W)) 78f97664b3Swangkaifan val fuop_reg = Reg(UInt(8.W)) 798a5bdd64Swangkaifan 8011131ea4SYinan Xu io.exceptionAddr.valid := atom_override_xtval 812bd5334dSYinan Xu io.exceptionAddr.bits := in.src(0) 82024ee227SWilliam Wang 83024ee227SWilliam Wang // assign default value to output signals 84024ee227SWilliam Wang io.in.ready := false.B 85024ee227SWilliam Wang 86024ee227SWilliam Wang io.dcache.req.valid := false.B 87024ee227SWilliam Wang io.dcache.req.bits := DontCare 88024ee227SWilliam Wang 89024ee227SWilliam Wang io.dtlb.req.valid := false.B 90024ee227SWilliam Wang io.dtlb.req.bits := DontCare 91c3b763d0SYinan Xu io.dtlb.req_kill := false.B 929930e66fSLemover io.dtlb.resp.ready := true.B 93024ee227SWilliam Wang 94024ee227SWilliam Wang io.flush_sbuffer.valid := false.B 95024ee227SWilliam Wang 96024ee227SWilliam Wang XSDebug("state: %d\n", state) 97024ee227SWilliam Wang 98024ee227SWilliam Wang when (state === s_invalid) { 99024ee227SWilliam Wang io.in.ready := true.B 1004f39c746SYinan Xu when (io.in.fire) { 101024ee227SWilliam Wang in := io.in.bits 1022bd5334dSYinan Xu in.src(1) := in.src(1) // leave src2 unchanged 10352180d7eShappy-lx state := s_tlb_and_flush_sbuffer_req 104*6fce12d9SWilliam Wang have_sent_first_tlb_req := false.B 1051b7adedcSWilliam Wang } 10682d348fbSLemover } 10782d348fbSLemover 1084f39c746SYinan Xu when (io.storeDataIn.fire) { 1092bd5334dSYinan Xu in.src(1) := io.storeDataIn.bits.data 1101b7adedcSWilliam Wang data_valid := true.B 1111b7adedcSWilliam Wang } 112024ee227SWilliam Wang 1134f39c746SYinan Xu assert(!(io.storeDataIn.fire && data_valid), "atomic unit re-receive data") 1141b7adedcSWilliam Wang 115024ee227SWilliam Wang // Send TLB feedback to store issue queue 116024ee227SWilliam Wang // we send feedback right after we receives request 117024ee227SWilliam Wang // also, we always treat amo as tlb hit 118024ee227SWilliam Wang // since we will continue polling tlb all by ourself 119d87b76aaSWilliam Wang io.feedbackSlow.valid := RegNext(RegNext(io.in.valid)) 120d87b76aaSWilliam Wang io.feedbackSlow.bits.hit := true.B 121d87b76aaSWilliam Wang io.feedbackSlow.bits.rsIdx := RegEnable(io.rsIdx, io.in.valid) 122d87b76aaSWilliam Wang io.feedbackSlow.bits.flushState := DontCare 123d87b76aaSWilliam Wang io.feedbackSlow.bits.sourceType := DontCare 124c7160cd3SWilliam Wang io.feedbackSlow.bits.dataInvalidSqIdx := DontCare 125024ee227SWilliam Wang 126024ee227SWilliam Wang // tlb translation, manipulating signals && deal with exception 12752180d7eShappy-lx // at the same time, flush sbuffer 12852180d7eShappy-lx when (state === s_tlb_and_flush_sbuffer_req) { 129024ee227SWilliam Wang // send req to dtlb 130024ee227SWilliam Wang // keep firing until tlb hit 131024ee227SWilliam Wang io.dtlb.req.valid := true.B 1322bd5334dSYinan Xu io.dtlb.req.bits.vaddr := in.src(0) 1330fedb24cSWilliam Wang io.dtlb.resp.ready := true.B 1340fedb24cSWilliam Wang io.dtlb.req.bits.cmd := Mux(isLr, TlbCmd.atom_read, TlbCmd.atom_write) 135024ee227SWilliam Wang io.dtlb.req.bits.debug.pc := in.uop.cf.pc 136ee46cd6eSLemover io.dtlb.req.bits.debug.isFirstIssue := false.B 137024ee227SWilliam Wang 13852180d7eShappy-lx // send req to sbuffer to flush it if it is not empty 13952180d7eShappy-lx io.flush_sbuffer.valid := Mux(sbuffer_empty, false.B, true.B) 14052180d7eShappy-lx 141*6fce12d9SWilliam Wang // do not accept tlb resp in the first cycle 142*6fce12d9SWilliam Wang // this limition is for hw prefetcher 143*6fce12d9SWilliam Wang // when !have_sent_first_tlb_req, tlb resp may come from hw prefetch 144*6fce12d9SWilliam Wang have_sent_first_tlb_req := true.B 145*6fce12d9SWilliam Wang 146*6fce12d9SWilliam Wang when(io.dtlb.resp.fire && have_sent_first_tlb_req){ 14703efd994Shappy-lx paddr := io.dtlb.resp.bits.paddr(0) 148024ee227SWilliam Wang // exception handling 149024ee227SWilliam Wang val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List( 150024ee227SWilliam Wang "b00".U -> true.B, //b 1512bd5334dSYinan Xu "b01".U -> (in.src(0)(0) === 0.U), //h 1522bd5334dSYinan Xu "b10".U -> (in.src(0)(1,0) === 0.U), //w 1532bd5334dSYinan Xu "b11".U -> (in.src(0)(2,0) === 0.U) //d 154024ee227SWilliam Wang )) 1558c343485SWilliam Wang exceptionVec(loadAddrMisaligned) := !addrAligned && isLr 1568c343485SWilliam Wang exceptionVec(storeAddrMisaligned) := !addrAligned && !isLr 15703efd994Shappy-lx exceptionVec(storePageFault) := io.dtlb.resp.bits.excp(0).pf.st 15803efd994Shappy-lx exceptionVec(loadPageFault) := io.dtlb.resp.bits.excp(0).pf.ld 15903efd994Shappy-lx exceptionVec(storeAccessFault) := io.dtlb.resp.bits.excp(0).af.st 16003efd994Shappy-lx exceptionVec(loadAccessFault) := io.dtlb.resp.bits.excp(0).af.ld 161cba0a7e0SLemover static_pm := io.dtlb.resp.bits.static_pm 162e9092fe2SLemover 163e9092fe2SLemover when (!io.dtlb.resp.bits.miss) { 164e9092fe2SLemover when (!addrAligned) { 165e9092fe2SLemover // NOTE: when addrAligned, do not need to wait tlb actually 166e9092fe2SLemover // check for miss aligned exceptions, tlb exception are checked next cycle for timing 167024ee227SWilliam Wang // if there are exceptions, no need to execute it 168024ee227SWilliam Wang state := s_finish 1694f39c746SYinan Xu out_valid := true.B 170024ee227SWilliam Wang atom_override_xtval := true.B 171024ee227SWilliam Wang } .otherwise { 172ca2f90a6SLemover state := s_pm 173024ee227SWilliam Wang } 174024ee227SWilliam Wang } 175024ee227SWilliam Wang } 176e9092fe2SLemover } 177024ee227SWilliam Wang 178ca2f90a6SLemover when (state === s_pm) { 179cba0a7e0SLemover val pmp = WireInit(io.pmpResp) 180cba0a7e0SLemover when (static_pm.valid) { 181cba0a7e0SLemover pmp.ld := false.B 182cba0a7e0SLemover pmp.st := false.B 183cba0a7e0SLemover pmp.instr := false.B 184cba0a7e0SLemover pmp.mmio := static_pm.bits 185cba0a7e0SLemover } 186cba0a7e0SLemover is_mmio := pmp.mmio 187e9092fe2SLemover // NOTE: only handle load/store exception here, if other exception happens, don't send here 188e9092fe2SLemover val exception_va = exceptionVec(storePageFault) || exceptionVec(loadPageFault) || 189e9092fe2SLemover exceptionVec(storeAccessFault) || exceptionVec(loadAccessFault) 1900fedb24cSWilliam Wang val exception_pa = pmp.st || pmp.ld 191e9092fe2SLemover when (exception_va || exception_pa) { 192ca2f90a6SLemover state := s_finish 1934f39c746SYinan Xu out_valid := true.B 194ca2f90a6SLemover atom_override_xtval := true.B 195ca2f90a6SLemover }.otherwise { 19652180d7eShappy-lx // if sbuffer has been flushed, go to query dcache, otherwise wait for sbuffer. 19752180d7eShappy-lx state := Mux(sbuffer_empty, s_cache_req, s_wait_flush_sbuffer_resp); 198ca2f90a6SLemover } 1990fedb24cSWilliam Wang // update storeAccessFault bit 2000fedb24cSWilliam Wang exceptionVec(loadAccessFault) := exceptionVec(loadAccessFault) || pmp.ld && isLr 2010fedb24cSWilliam Wang exceptionVec(storeAccessFault) := exceptionVec(storeAccessFault) || pmp.st || pmp.ld && !isLr 202ca2f90a6SLemover } 203024ee227SWilliam Wang 20452180d7eShappy-lx when (state === s_wait_flush_sbuffer_resp) { 20552180d7eShappy-lx when (sbuffer_empty) { 206024ee227SWilliam Wang state := s_cache_req 207024ee227SWilliam Wang } 208024ee227SWilliam Wang } 209024ee227SWilliam Wang 210024ee227SWilliam Wang when (state === s_cache_req) { 21162cb71fbShappy-lx val pipe_req = io.dcache.req.bits 21262cb71fbShappy-lx pipe_req := DontCare 21362cb71fbShappy-lx 21462cb71fbShappy-lx pipe_req.cmd := LookupTree(in.uop.ctrl.fuOpType, List( 215024ee227SWilliam Wang LSUOpType.lr_w -> M_XLR, 216024ee227SWilliam Wang LSUOpType.sc_w -> M_XSC, 217024ee227SWilliam Wang LSUOpType.amoswap_w -> M_XA_SWAP, 218024ee227SWilliam Wang LSUOpType.amoadd_w -> M_XA_ADD, 219024ee227SWilliam Wang LSUOpType.amoxor_w -> M_XA_XOR, 220024ee227SWilliam Wang LSUOpType.amoand_w -> M_XA_AND, 221024ee227SWilliam Wang LSUOpType.amoor_w -> M_XA_OR, 222024ee227SWilliam Wang LSUOpType.amomin_w -> M_XA_MIN, 223024ee227SWilliam Wang LSUOpType.amomax_w -> M_XA_MAX, 224024ee227SWilliam Wang LSUOpType.amominu_w -> M_XA_MINU, 225024ee227SWilliam Wang LSUOpType.amomaxu_w -> M_XA_MAXU, 226024ee227SWilliam Wang 227024ee227SWilliam Wang LSUOpType.lr_d -> M_XLR, 228024ee227SWilliam Wang LSUOpType.sc_d -> M_XSC, 229024ee227SWilliam Wang LSUOpType.amoswap_d -> M_XA_SWAP, 230024ee227SWilliam Wang LSUOpType.amoadd_d -> M_XA_ADD, 231024ee227SWilliam Wang LSUOpType.amoxor_d -> M_XA_XOR, 232024ee227SWilliam Wang LSUOpType.amoand_d -> M_XA_AND, 233024ee227SWilliam Wang LSUOpType.amoor_d -> M_XA_OR, 234024ee227SWilliam Wang LSUOpType.amomin_d -> M_XA_MIN, 235024ee227SWilliam Wang LSUOpType.amomax_d -> M_XA_MAX, 236024ee227SWilliam Wang LSUOpType.amominu_d -> M_XA_MINU, 237024ee227SWilliam Wang LSUOpType.amomaxu_d -> M_XA_MAXU 238024ee227SWilliam Wang )) 23962cb71fbShappy-lx pipe_req.miss := false.B 24062cb71fbShappy-lx pipe_req.probe := false.B 24162cb71fbShappy-lx pipe_req.probe_need_data := false.B 24262cb71fbShappy-lx pipe_req.source := AMO_SOURCE.U 24362cb71fbShappy-lx pipe_req.addr := get_block_addr(paddr) 24462cb71fbShappy-lx pipe_req.vaddr := get_block_addr(in.src(0)) // vaddr 24562cb71fbShappy-lx pipe_req.word_idx := get_word(paddr) 24662cb71fbShappy-lx pipe_req.amo_data := genWdata(in.src(1), in.uop.ctrl.fuOpType(1,0)) 24762cb71fbShappy-lx pipe_req.amo_mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0)) 248024ee227SWilliam Wang 24962cb71fbShappy-lx io.dcache.req.valid := Mux( 25062cb71fbShappy-lx io.dcache.req.bits.cmd === M_XLR, 25162cb71fbShappy-lx !io.dcache.block_lr, // block lr to survive in lr storm 25252180d7eShappy-lx data_valid // wait until src(1) is ready 25362cb71fbShappy-lx ) 254024ee227SWilliam Wang 2554f39c746SYinan Xu when(io.dcache.req.fire){ 256024ee227SWilliam Wang state := s_cache_resp 25762cb71fbShappy-lx paddr_reg := paddr 25862cb71fbShappy-lx data_reg := io.dcache.req.bits.amo_data 25962cb71fbShappy-lx mask_reg := io.dcache.req.bits.amo_mask 260f97664b3Swangkaifan fuop_reg := in.uop.ctrl.fuOpType 261024ee227SWilliam Wang } 262024ee227SWilliam Wang } 263024ee227SWilliam Wang 26462cb71fbShappy-lx val dcache_resp_data = Reg(UInt()) 26562cb71fbShappy-lx val dcache_resp_id = Reg(UInt()) 26662cb71fbShappy-lx val dcache_resp_error = Reg(Bool()) 26762cb71fbShappy-lx 268024ee227SWilliam Wang when (state === s_cache_resp) { 26962cb71fbShappy-lx // when not miss 27062cb71fbShappy-lx // everything is OK, simply send response back to sbuffer 27162cb71fbShappy-lx // when miss and not replay 27262cb71fbShappy-lx // wait for missQueue to handling miss and replaying our request 27362cb71fbShappy-lx // when miss and replay 27462cb71fbShappy-lx // req missed and fail to enter missQueue, manually replay it later 27562cb71fbShappy-lx // TODO: add assertions: 27662cb71fbShappy-lx // 1. add a replay delay counter? 27762cb71fbShappy-lx // 2. when req gets into MissQueue, it should not miss any more 27862cb71fbShappy-lx when(io.dcache.resp.fire()) { 27962cb71fbShappy-lx when(io.dcache.resp.bits.miss) { 28062cb71fbShappy-lx when(io.dcache.resp.bits.replay) { 28162cb71fbShappy-lx state := s_cache_req 28262cb71fbShappy-lx } 28362cb71fbShappy-lx } .otherwise { 28462cb71fbShappy-lx dcache_resp_data := io.dcache.resp.bits.data 28562cb71fbShappy-lx dcache_resp_id := io.dcache.resp.bits.id 28662cb71fbShappy-lx dcache_resp_error := io.dcache.resp.bits.error 28762cb71fbShappy-lx state := s_cache_resp_latch 28862cb71fbShappy-lx } 28962cb71fbShappy-lx } 29062cb71fbShappy-lx } 29162cb71fbShappy-lx 29262cb71fbShappy-lx when (state === s_cache_resp_latch) { 29362cb71fbShappy-lx is_lrsc_valid := dcache_resp_id 294024ee227SWilliam Wang val rdataSel = LookupTree(paddr(2, 0), List( 29562cb71fbShappy-lx "b000".U -> dcache_resp_data(63, 0), 29662cb71fbShappy-lx "b001".U -> dcache_resp_data(63, 8), 29762cb71fbShappy-lx "b010".U -> dcache_resp_data(63, 16), 29862cb71fbShappy-lx "b011".U -> dcache_resp_data(63, 24), 29962cb71fbShappy-lx "b100".U -> dcache_resp_data(63, 32), 30062cb71fbShappy-lx "b101".U -> dcache_resp_data(63, 40), 30162cb71fbShappy-lx "b110".U -> dcache_resp_data(63, 48), 30262cb71fbShappy-lx "b111".U -> dcache_resp_data(63, 56) 303024ee227SWilliam Wang )) 304024ee227SWilliam Wang 305f97664b3Swangkaifan resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List( 306024ee227SWilliam Wang LSUOpType.lr_w -> SignExt(rdataSel(31, 0), XLEN), 30762cb71fbShappy-lx LSUOpType.sc_w -> dcache_resp_data, 308024ee227SWilliam Wang LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN), 309024ee227SWilliam Wang LSUOpType.amoadd_w -> SignExt(rdataSel(31, 0), XLEN), 310024ee227SWilliam Wang LSUOpType.amoxor_w -> SignExt(rdataSel(31, 0), XLEN), 311024ee227SWilliam Wang LSUOpType.amoand_w -> SignExt(rdataSel(31, 0), XLEN), 312024ee227SWilliam Wang LSUOpType.amoor_w -> SignExt(rdataSel(31, 0), XLEN), 313024ee227SWilliam Wang LSUOpType.amomin_w -> SignExt(rdataSel(31, 0), XLEN), 314024ee227SWilliam Wang LSUOpType.amomax_w -> SignExt(rdataSel(31, 0), XLEN), 315024ee227SWilliam Wang LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN), 316024ee227SWilliam Wang LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN), 317024ee227SWilliam Wang 318024ee227SWilliam Wang LSUOpType.lr_d -> SignExt(rdataSel(63, 0), XLEN), 31962cb71fbShappy-lx LSUOpType.sc_d -> dcache_resp_data, 320024ee227SWilliam Wang LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN), 321024ee227SWilliam Wang LSUOpType.amoadd_d -> SignExt(rdataSel(63, 0), XLEN), 322024ee227SWilliam Wang LSUOpType.amoxor_d -> SignExt(rdataSel(63, 0), XLEN), 323024ee227SWilliam Wang LSUOpType.amoand_d -> SignExt(rdataSel(63, 0), XLEN), 324024ee227SWilliam Wang LSUOpType.amoor_d -> SignExt(rdataSel(63, 0), XLEN), 325024ee227SWilliam Wang LSUOpType.amomin_d -> SignExt(rdataSel(63, 0), XLEN), 326024ee227SWilliam Wang LSUOpType.amomax_d -> SignExt(rdataSel(63, 0), XLEN), 327024ee227SWilliam Wang LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN), 328024ee227SWilliam Wang LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN) 329024ee227SWilliam Wang )) 330024ee227SWilliam Wang 33162cb71fbShappy-lx when (dcache_resp_error && io.csrCtrl.cache_error_enable) { 332026615fcSWilliam Wang exceptionVec(loadAccessFault) := isLr 333026615fcSWilliam Wang exceptionVec(storeAccessFault) := !isLr 334026615fcSWilliam Wang assert(!exceptionVec(loadAccessFault)) 335026615fcSWilliam Wang assert(!exceptionVec(storeAccessFault)) 336026615fcSWilliam Wang } 337026615fcSWilliam Wang 338f97664b3Swangkaifan resp_data := resp_data_wire 339024ee227SWilliam Wang state := s_finish 3404f39c746SYinan Xu out_valid := true.B 341024ee227SWilliam Wang } 342024ee227SWilliam Wang 3434f39c746SYinan Xu io.out.valid := out_valid 3444f39c746SYinan Xu XSError((state === s_finish) =/= out_valid, "out_valid reg error\n") 3454f39c746SYinan Xu io.out.bits := DontCare 346024ee227SWilliam Wang io.out.bits.uop := in.uop 3470d045bd0SYinan Xu io.out.bits.uop.cf.exceptionVec := exceptionVec 348024ee227SWilliam Wang io.out.bits.data := resp_data 349024ee227SWilliam Wang io.out.bits.redirectValid := false.B 350cff68e26SWilliam Wang io.out.bits.debug.isMMIO := is_mmio 35107635e87Swangkaifan io.out.bits.debug.paddr := paddr 3524f39c746SYinan Xu when (io.out.fire) { 353024ee227SWilliam Wang XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data) 354024ee227SWilliam Wang state := s_invalid 3554f39c746SYinan Xu out_valid := false.B 356024ee227SWilliam Wang } 3574f39c746SYinan Xu 3584f39c746SYinan Xu when (state === s_finish) { 35982d348fbSLemover data_valid := false.B 360024ee227SWilliam Wang } 361024ee227SWilliam Wang 362f4b2089aSYinan Xu when (io.redirect.valid) { 363024ee227SWilliam Wang atom_override_xtval := false.B 364024ee227SWilliam Wang } 3658a5bdd64Swangkaifan 366bbd4b852SWilliam Wang // atomic trigger 367bbd4b852SWilliam Wang val csrCtrl = io.csrCtrl 368bbd4b852SWilliam Wang val tdata = Reg(Vec(6, new MatchTriggerIO)) 369bbd4b852SWilliam Wang val tEnable = RegInit(VecInit(Seq.fill(6)(false.B))) 370bbd4b852SWilliam Wang val en = csrCtrl.trigger_enable 371bbd4b852SWilliam Wang tEnable := VecInit(en(2), en (3), en(7), en(4), en(5), en(9)) 372bbd4b852SWilliam Wang when(csrCtrl.mem_trigger.t.valid) { 373bbd4b852SWilliam Wang tdata(csrCtrl.mem_trigger.t.bits.addr) := csrCtrl.mem_trigger.t.bits.tdata 374bbd4b852SWilliam Wang } 375bbd4b852SWilliam Wang val lTriggerMapping = Map(0 -> 2, 1 -> 3, 2 -> 5) 376bbd4b852SWilliam Wang val sTriggerMapping = Map(0 -> 0, 1 -> 1, 2 -> 4) 377bbd4b852SWilliam Wang 378bbd4b852SWilliam Wang val backendTriggerHitReg = Reg(Vec(6, Bool())) 379bbd4b852SWilliam Wang backendTriggerHitReg := VecInit(Seq.fill(6)(false.B)) 380bbd4b852SWilliam Wang 381bbd4b852SWilliam Wang when(state === s_cache_req){ 382bbd4b852SWilliam Wang // store trigger 383bbd4b852SWilliam Wang val store_hit = Wire(Vec(3, Bool())) 384bbd4b852SWilliam Wang for (j <- 0 until 3) { 385bbd4b852SWilliam Wang store_hit(j) := !tdata(sTriggerMapping(j)).select && TriggerCmp( 386bbd4b852SWilliam Wang vaddr, 387bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).tdata2, 388bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).matchType, 389bbd4b852SWilliam Wang tEnable(sTriggerMapping(j)) 390bbd4b852SWilliam Wang ) 391bbd4b852SWilliam Wang backendTriggerHitReg(sTriggerMapping(j)) := store_hit(j) 392bbd4b852SWilliam Wang } 393bbd4b852SWilliam Wang 394bbd4b852SWilliam Wang when(tdata(0).chain) { 395bbd4b852SWilliam Wang backendTriggerHitReg(0) := store_hit(0) && store_hit(1) 396bbd4b852SWilliam Wang backendTriggerHitReg(1) := store_hit(0) && store_hit(1) 397bbd4b852SWilliam Wang } 398bbd4b852SWilliam Wang 399bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(0)) { 400bbd4b852SWilliam Wang backendTriggerHitReg(4) := false.B 401bbd4b852SWilliam Wang } 402bbd4b852SWilliam Wang 403bbd4b852SWilliam Wang // load trigger 404bbd4b852SWilliam Wang val load_hit = Wire(Vec(3, Bool())) 405bbd4b852SWilliam Wang for (j <- 0 until 3) { 406bbd4b852SWilliam Wang 407bbd4b852SWilliam Wang val addrHit = TriggerCmp( 408bbd4b852SWilliam Wang vaddr, 409bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).tdata2, 410bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).matchType, 411bbd4b852SWilliam Wang tEnable(lTriggerMapping(j)) 412bbd4b852SWilliam Wang ) 413bbd4b852SWilliam Wang load_hit(j) := addrHit && !tdata(lTriggerMapping(j)).select 414bbd4b852SWilliam Wang backendTriggerHitReg(lTriggerMapping(j)) := load_hit(j) 415bbd4b852SWilliam Wang } 416bbd4b852SWilliam Wang when(tdata(2).chain) { 417bbd4b852SWilliam Wang backendTriggerHitReg(2) := load_hit(0) && load_hit(1) 418bbd4b852SWilliam Wang backendTriggerHitReg(3) := load_hit(0) && load_hit(1) 419bbd4b852SWilliam Wang } 420bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(1)) { 421bbd4b852SWilliam Wang backendTriggerHitReg(5) := false.B 422bbd4b852SWilliam Wang } 423bbd4b852SWilliam Wang } 424bbd4b852SWilliam Wang 425bbd4b852SWilliam Wang // addr trigger do cmp at s_cache_req 426bbd4b852SWilliam Wang // trigger result is used at s_finish 427bbd4b852SWilliam Wang // thus we can delay it safely 428bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit := VecInit(Seq.fill(6)(false.B)) 429bbd4b852SWilliam Wang when(isLr){ 430bbd4b852SWilliam Wang // enable load trigger 431bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(2) := backendTriggerHitReg(2) 432bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(3) := backendTriggerHitReg(3) 433bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(5) := backendTriggerHitReg(5) 434bbd4b852SWilliam Wang }.otherwise{ 435bbd4b852SWilliam Wang // enable store trigger 436bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(0) := backendTriggerHitReg(0) 437bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(1) := backendTriggerHitReg(1) 438bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(4) := backendTriggerHitReg(4) 439bbd4b852SWilliam Wang } 440bbd4b852SWilliam Wang 4411545277aSYinan Xu if (env.EnableDifftest) { 4422225d46eSJiawei Lin val difftest = Module(new DifftestAtomicEvent) 4432225d46eSJiawei Lin difftest.io.clock := clock 4445668a921SJiawei Lin difftest.io.coreid := io.hartId 44552180d7eShappy-lx difftest.io.atomicResp := state === s_cache_resp_latch 4462225d46eSJiawei Lin difftest.io.atomicAddr := paddr_reg 4472225d46eSJiawei Lin difftest.io.atomicData := data_reg 4482225d46eSJiawei Lin difftest.io.atomicMask := mask_reg 4492225d46eSJiawei Lin difftest.io.atomicFuop := fuop_reg 4502225d46eSJiawei Lin difftest.io.atomicOut := resp_data_wire 4518a5bdd64Swangkaifan } 452e13d224aSYinan Xu 453e13d224aSYinan Xu if (env.EnableDifftest || env.AlwaysBasicDiff) { 454e13d224aSYinan Xu val uop = io.out.bits.uop 455e13d224aSYinan Xu val difftest = Module(new DifftestLrScEvent) 456e13d224aSYinan Xu difftest.io.clock := clock 457e13d224aSYinan Xu difftest.io.coreid := io.hartId 458e13d224aSYinan Xu difftest.io.valid := io.out.fire && 459e13d224aSYinan Xu (uop.ctrl.fuOpType === LSUOpType.sc_d || uop.ctrl.fuOpType === LSUOpType.sc_w) 460e13d224aSYinan Xu difftest.io.success := is_lrsc_valid 461e13d224aSYinan Xu } 462024ee227SWilliam Wang} 463