xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/AtomicsUnit.scala (revision 1b7adedcea009da8b044339900598e3ad2e73203)
1024ee227SWilliam Wangpackage xiangshan.mem
2024ee227SWilliam Wang
32225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
4024ee227SWilliam Wangimport chisel3._
5024ee227SWilliam Wangimport chisel3.util._
6024ee227SWilliam Wangimport utils._
7024ee227SWilliam Wangimport xiangshan._
8024ee227SWilliam Wangimport xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants}
92225d46eSJiawei Linimport difftest._
10024ee227SWilliam Wang
112225d46eSJiawei Linclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants{
12024ee227SWilliam Wang  val io = IO(new Bundle() {
13024ee227SWilliam Wang    val in            = Flipped(Decoupled(new ExuInput))
14*1b7adedcSWilliam Wang    val storeDataIn   = Flipped(Valid(new StoreDataBundle)) // src2 from rs
15024ee227SWilliam Wang    val out           = Decoupled(new ExuOutput)
16024ee227SWilliam Wang    val dcache        = new DCacheWordIO
17024ee227SWilliam Wang    val dtlb          = new TlbRequestIO
1864e8d8bdSZhangZifei    val rsIdx         = Input(UInt(log2Up(IssQueSize).W))
19024ee227SWilliam Wang    val flush_sbuffer = new SbufferFlushBundle
20*1b7adedcSWilliam Wang    val rsFeedback   = ValidIO(new RSFeedback)
21024ee227SWilliam Wang    val redirect      = Flipped(ValidIO(new Redirect))
222d7c7105SYinan Xu    val flush      = Input(Bool())
2311131ea4SYinan Xu    val exceptionAddr = ValidIO(UInt(VAddrBits.W))
24024ee227SWilliam Wang  })
25024ee227SWilliam Wang
26024ee227SWilliam Wang  //-------------------------------------------------------
27024ee227SWilliam Wang  // Atomics Memory Accsess FSM
28024ee227SWilliam Wang  //-------------------------------------------------------
29024ee227SWilliam Wang  val s_invalid :: s_tlb  :: s_flush_sbuffer_req :: s_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_finish :: Nil = Enum(7)
30024ee227SWilliam Wang  val state = RegInit(s_invalid)
31*1b7adedcSWilliam Wang  val addr_valid = RegInit(false.B)
32*1b7adedcSWilliam Wang  val data_valid = RegInit(false.B)
33024ee227SWilliam Wang  val in = Reg(new ExuInput())
340d045bd0SYinan Xu  val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec()))
35024ee227SWilliam Wang  val atom_override_xtval = RegInit(false.B)
36024ee227SWilliam Wang  // paddr after translation
37024ee227SWilliam Wang  val paddr = Reg(UInt())
38cff68e26SWilliam Wang  val is_mmio = Reg(Bool())
39024ee227SWilliam Wang  // dcache response data
40024ee227SWilliam Wang  val resp_data = Reg(UInt())
41f97664b3Swangkaifan  val resp_data_wire = WireInit(0.U)
42024ee227SWilliam Wang  val is_lrsc_valid = Reg(Bool())
43024ee227SWilliam Wang
448a5bdd64Swangkaifan  // Difftest signals
458a5bdd64Swangkaifan  val paddr_reg = Reg(UInt(64.W))
468a5bdd64Swangkaifan  val data_reg = Reg(UInt(64.W))
478a5bdd64Swangkaifan  val mask_reg = Reg(UInt(8.W))
48f97664b3Swangkaifan  val fuop_reg = Reg(UInt(8.W))
498a5bdd64Swangkaifan
5011131ea4SYinan Xu  io.exceptionAddr.valid := atom_override_xtval
5111131ea4SYinan Xu  io.exceptionAddr.bits  := in.src1
52024ee227SWilliam Wang
53024ee227SWilliam Wang  // assign default value to output signals
54024ee227SWilliam Wang  io.in.ready          := false.B
55024ee227SWilliam Wang  io.out.valid         := false.B
56024ee227SWilliam Wang  io.out.bits          := DontCare
57024ee227SWilliam Wang
58024ee227SWilliam Wang  io.dcache.req.valid  := false.B
59024ee227SWilliam Wang  io.dcache.req.bits   := DontCare
60024ee227SWilliam Wang  io.dcache.resp.ready := false.B
61024ee227SWilliam Wang
62024ee227SWilliam Wang  io.dtlb.req.valid    := false.B
63024ee227SWilliam Wang  io.dtlb.req.bits     := DontCare
640cab60cbSZhangZifei  io.dtlb.resp.ready   := false.B
65024ee227SWilliam Wang
66024ee227SWilliam Wang  io.flush_sbuffer.valid := false.B
67024ee227SWilliam Wang
68024ee227SWilliam Wang  XSDebug("state: %d\n", state)
69024ee227SWilliam Wang
70024ee227SWilliam Wang  when (state === s_invalid) {
71024ee227SWilliam Wang    io.in.ready := true.B
72024ee227SWilliam Wang    when (io.in.fire()) {
73024ee227SWilliam Wang      in := io.in.bits
74*1b7adedcSWilliam Wang      in.src2 := in.src2 // leave src2 unchanged
75*1b7adedcSWilliam Wang      addr_valid := true.B
76*1b7adedcSWilliam Wang    }
77*1b7adedcSWilliam Wang    when (io.storeDataIn.fire()) {
78*1b7adedcSWilliam Wang      in.src2 := io.storeDataIn.bits.data
79*1b7adedcSWilliam Wang      data_valid := true.B
80*1b7adedcSWilliam Wang    }
81*1b7adedcSWilliam Wang    when(data_valid && addr_valid) {
82024ee227SWilliam Wang      state := s_tlb
83*1b7adedcSWilliam Wang      addr_valid := false.B
84*1b7adedcSWilliam Wang      data_valid := false.B
85024ee227SWilliam Wang    }
86024ee227SWilliam Wang  }
87024ee227SWilliam Wang
88*1b7adedcSWilliam Wang
89024ee227SWilliam Wang  // Send TLB feedback to store issue queue
90024ee227SWilliam Wang  // we send feedback right after we receives request
91024ee227SWilliam Wang  // also, we always treat amo as tlb hit
92024ee227SWilliam Wang  // since we will continue polling tlb all by ourself
93*1b7adedcSWilliam Wang  io.rsFeedback.valid       := RegNext(RegNext(io.in.valid))
94*1b7adedcSWilliam Wang  io.rsFeedback.bits.hit    := true.B
95*1b7adedcSWilliam Wang  io.rsFeedback.bits.rsIdx  := RegEnable(io.rsIdx, io.in.valid)
96*1b7adedcSWilliam Wang  io.rsFeedback.bits.flushState := DontCare
97*1b7adedcSWilliam Wang  io.rsFeedback.bits.sourceType := DontCare
98024ee227SWilliam Wang
99024ee227SWilliam Wang  // tlb translation, manipulating signals && deal with exception
100024ee227SWilliam Wang  when (state === s_tlb) {
101024ee227SWilliam Wang    // send req to dtlb
102024ee227SWilliam Wang    // keep firing until tlb hit
103024ee227SWilliam Wang    io.dtlb.req.valid       := true.B
104024ee227SWilliam Wang    io.dtlb.req.bits.vaddr  := in.src1
105024ee227SWilliam Wang    io.dtlb.req.bits.roqIdx := in.uop.roqIdx
106cd3bc62aSZhangZifei    io.dtlb.resp.ready      := true.B
107024ee227SWilliam Wang    val is_lr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d
108cff68e26SWilliam Wang    io.dtlb.req.bits.cmd    := Mux(is_lr, TlbCmd.atom_read, TlbCmd.atom_write)
109024ee227SWilliam Wang    io.dtlb.req.bits.debug.pc := in.uop.cf.pc
110ee46cd6eSLemover    io.dtlb.req.bits.debug.isFirstIssue := false.B
111024ee227SWilliam Wang
1120cab60cbSZhangZifei    when(io.dtlb.resp.fire && !io.dtlb.resp.bits.miss){
113024ee227SWilliam Wang      // exception handling
114024ee227SWilliam Wang      val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List(
115024ee227SWilliam Wang        "b00".U   -> true.B,              //b
116024ee227SWilliam Wang        "b01".U   -> (in.src1(0) === 0.U),   //h
117024ee227SWilliam Wang        "b10".U   -> (in.src1(1,0) === 0.U), //w
118024ee227SWilliam Wang        "b11".U   -> (in.src1(2,0) === 0.U)  //d
119024ee227SWilliam Wang      ))
1200d045bd0SYinan Xu      exceptionVec(storeAddrMisaligned) := !addrAligned
1210d045bd0SYinan Xu      exceptionVec(storePageFault)      := io.dtlb.resp.bits.excp.pf.st
1220d045bd0SYinan Xu      exceptionVec(loadPageFault)       := io.dtlb.resp.bits.excp.pf.ld
123a8e04b1dSYinan Xu      exceptionVec(storeAccessFault)    := io.dtlb.resp.bits.excp.af.st
124a8e04b1dSYinan Xu      exceptionVec(loadAccessFault)     := io.dtlb.resp.bits.excp.af.ld
125cff68e26SWilliam Wang      val exception = !addrAligned ||
126cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.pf.st ||
127cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.pf.ld ||
128cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.af.st ||
129cff68e26SWilliam Wang        io.dtlb.resp.bits.excp.af.ld
130cff68e26SWilliam Wang      is_mmio := io.dtlb.resp.bits.mmio
131024ee227SWilliam Wang      when (exception) {
132024ee227SWilliam Wang        // check for exceptions
133024ee227SWilliam Wang        // if there are exceptions, no need to execute it
134024ee227SWilliam Wang        state := s_finish
135024ee227SWilliam Wang        atom_override_xtval := true.B
136024ee227SWilliam Wang      } .otherwise {
137024ee227SWilliam Wang        paddr := io.dtlb.resp.bits.paddr
138024ee227SWilliam Wang        state := s_flush_sbuffer_req
139024ee227SWilliam Wang      }
140024ee227SWilliam Wang    }
141024ee227SWilliam Wang  }
142024ee227SWilliam Wang
143024ee227SWilliam Wang
144024ee227SWilliam Wang  when (state === s_flush_sbuffer_req) {
145024ee227SWilliam Wang    io.flush_sbuffer.valid := true.B
146024ee227SWilliam Wang    state := s_flush_sbuffer_resp
147024ee227SWilliam Wang  }
148024ee227SWilliam Wang
149024ee227SWilliam Wang  when (state === s_flush_sbuffer_resp) {
150024ee227SWilliam Wang    when (io.flush_sbuffer.empty) {
151024ee227SWilliam Wang      state := s_cache_req
152024ee227SWilliam Wang    }
153024ee227SWilliam Wang  }
154024ee227SWilliam Wang
155024ee227SWilliam Wang  when (state === s_cache_req) {
156024ee227SWilliam Wang    io.dcache.req.valid := true.B
157024ee227SWilliam Wang    io.dcache.req.bits.cmd := LookupTree(in.uop.ctrl.fuOpType, List(
158024ee227SWilliam Wang      LSUOpType.lr_w      -> M_XLR,
159024ee227SWilliam Wang      LSUOpType.sc_w      -> M_XSC,
160024ee227SWilliam Wang      LSUOpType.amoswap_w -> M_XA_SWAP,
161024ee227SWilliam Wang      LSUOpType.amoadd_w  -> M_XA_ADD,
162024ee227SWilliam Wang      LSUOpType.amoxor_w  -> M_XA_XOR,
163024ee227SWilliam Wang      LSUOpType.amoand_w  -> M_XA_AND,
164024ee227SWilliam Wang      LSUOpType.amoor_w   -> M_XA_OR,
165024ee227SWilliam Wang      LSUOpType.amomin_w  -> M_XA_MIN,
166024ee227SWilliam Wang      LSUOpType.amomax_w  -> M_XA_MAX,
167024ee227SWilliam Wang      LSUOpType.amominu_w -> M_XA_MINU,
168024ee227SWilliam Wang      LSUOpType.amomaxu_w -> M_XA_MAXU,
169024ee227SWilliam Wang
170024ee227SWilliam Wang      LSUOpType.lr_d      -> M_XLR,
171024ee227SWilliam Wang      LSUOpType.sc_d      -> M_XSC,
172024ee227SWilliam Wang      LSUOpType.amoswap_d -> M_XA_SWAP,
173024ee227SWilliam Wang      LSUOpType.amoadd_d  -> M_XA_ADD,
174024ee227SWilliam Wang      LSUOpType.amoxor_d  -> M_XA_XOR,
175024ee227SWilliam Wang      LSUOpType.amoand_d  -> M_XA_AND,
176024ee227SWilliam Wang      LSUOpType.amoor_d   -> M_XA_OR,
177024ee227SWilliam Wang      LSUOpType.amomin_d  -> M_XA_MIN,
178024ee227SWilliam Wang      LSUOpType.amomax_d  -> M_XA_MAX,
179024ee227SWilliam Wang      LSUOpType.amominu_d -> M_XA_MINU,
180024ee227SWilliam Wang      LSUOpType.amomaxu_d -> M_XA_MAXU
181024ee227SWilliam Wang    ))
182024ee227SWilliam Wang
183024ee227SWilliam Wang    io.dcache.req.bits.addr := paddr
184024ee227SWilliam Wang    io.dcache.req.bits.data := genWdata(in.src2, in.uop.ctrl.fuOpType(1,0))
185024ee227SWilliam Wang    // TODO: atomics do need mask: fix mask
186024ee227SWilliam Wang    io.dcache.req.bits.mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0))
187743bc277SAllen    io.dcache.req.bits.id   := DontCare
188024ee227SWilliam Wang
189024ee227SWilliam Wang    when(io.dcache.req.fire()){
190024ee227SWilliam Wang      state := s_cache_resp
1918a5bdd64Swangkaifan      paddr_reg := io.dcache.req.bits.addr
1928a5bdd64Swangkaifan      data_reg := io.dcache.req.bits.data
1938a5bdd64Swangkaifan      mask_reg := io.dcache.req.bits.mask
194f97664b3Swangkaifan      fuop_reg := in.uop.ctrl.fuOpType
195024ee227SWilliam Wang    }
196024ee227SWilliam Wang  }
197024ee227SWilliam Wang
198024ee227SWilliam Wang  when (state === s_cache_resp) {
199024ee227SWilliam Wang    io.dcache.resp.ready := true.B
200024ee227SWilliam Wang    when(io.dcache.resp.fire()) {
201743bc277SAllen      is_lrsc_valid := io.dcache.resp.bits.id
202024ee227SWilliam Wang      val rdata = io.dcache.resp.bits.data
203024ee227SWilliam Wang      val rdataSel = LookupTree(paddr(2, 0), List(
204024ee227SWilliam Wang        "b000".U -> rdata(63, 0),
205024ee227SWilliam Wang        "b001".U -> rdata(63, 8),
206024ee227SWilliam Wang        "b010".U -> rdata(63, 16),
207024ee227SWilliam Wang        "b011".U -> rdata(63, 24),
208024ee227SWilliam Wang        "b100".U -> rdata(63, 32),
209024ee227SWilliam Wang        "b101".U -> rdata(63, 40),
210024ee227SWilliam Wang        "b110".U -> rdata(63, 48),
211024ee227SWilliam Wang        "b111".U -> rdata(63, 56)
212024ee227SWilliam Wang      ))
213024ee227SWilliam Wang
214f97664b3Swangkaifan      resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List(
215024ee227SWilliam Wang        LSUOpType.lr_w      -> SignExt(rdataSel(31, 0), XLEN),
2167962cc88SWilliam Wang        LSUOpType.sc_w      -> rdata,
217024ee227SWilliam Wang        LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN),
218024ee227SWilliam Wang        LSUOpType.amoadd_w  -> SignExt(rdataSel(31, 0), XLEN),
219024ee227SWilliam Wang        LSUOpType.amoxor_w  -> SignExt(rdataSel(31, 0), XLEN),
220024ee227SWilliam Wang        LSUOpType.amoand_w  -> SignExt(rdataSel(31, 0), XLEN),
221024ee227SWilliam Wang        LSUOpType.amoor_w   -> SignExt(rdataSel(31, 0), XLEN),
222024ee227SWilliam Wang        LSUOpType.amomin_w  -> SignExt(rdataSel(31, 0), XLEN),
223024ee227SWilliam Wang        LSUOpType.amomax_w  -> SignExt(rdataSel(31, 0), XLEN),
224024ee227SWilliam Wang        LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN),
225024ee227SWilliam Wang        LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN),
226024ee227SWilliam Wang
227024ee227SWilliam Wang        LSUOpType.lr_d      -> SignExt(rdataSel(63, 0), XLEN),
2287962cc88SWilliam Wang        LSUOpType.sc_d      -> rdata,
229024ee227SWilliam Wang        LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN),
230024ee227SWilliam Wang        LSUOpType.amoadd_d  -> SignExt(rdataSel(63, 0), XLEN),
231024ee227SWilliam Wang        LSUOpType.amoxor_d  -> SignExt(rdataSel(63, 0), XLEN),
232024ee227SWilliam Wang        LSUOpType.amoand_d  -> SignExt(rdataSel(63, 0), XLEN),
233024ee227SWilliam Wang        LSUOpType.amoor_d   -> SignExt(rdataSel(63, 0), XLEN),
234024ee227SWilliam Wang        LSUOpType.amomin_d  -> SignExt(rdataSel(63, 0), XLEN),
235024ee227SWilliam Wang        LSUOpType.amomax_d  -> SignExt(rdataSel(63, 0), XLEN),
236024ee227SWilliam Wang        LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN),
237024ee227SWilliam Wang        LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN)
238024ee227SWilliam Wang      ))
239024ee227SWilliam Wang
240f97664b3Swangkaifan      resp_data := resp_data_wire
241024ee227SWilliam Wang      state := s_finish
242024ee227SWilliam Wang    }
243024ee227SWilliam Wang  }
244024ee227SWilliam Wang
245024ee227SWilliam Wang  when (state === s_finish) {
246024ee227SWilliam Wang    io.out.valid := true.B
247024ee227SWilliam Wang    io.out.bits.uop := in.uop
2480d045bd0SYinan Xu    io.out.bits.uop.cf.exceptionVec := exceptionVec
249024ee227SWilliam Wang    io.out.bits.uop.diffTestDebugLrScValid := is_lrsc_valid
250024ee227SWilliam Wang    io.out.bits.data := resp_data
251024ee227SWilliam Wang    io.out.bits.redirectValid := false.B
252024ee227SWilliam Wang    io.out.bits.redirect := DontCare
253cff68e26SWilliam Wang    io.out.bits.debug.isMMIO := is_mmio
25407635e87Swangkaifan    io.out.bits.debug.paddr := paddr
255024ee227SWilliam Wang    when (io.out.fire()) {
256024ee227SWilliam Wang      XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data)
257024ee227SWilliam Wang      state := s_invalid
258024ee227SWilliam Wang    }
259024ee227SWilliam Wang  }
260024ee227SWilliam Wang
2612d7c7105SYinan Xu  when(io.redirect.valid || io.flush){
262024ee227SWilliam Wang    atom_override_xtval := false.B
263024ee227SWilliam Wang  }
2648a5bdd64Swangkaifan
2653d499721Swangkaifan  if (!env.FPGAPlatform) {
2662225d46eSJiawei Lin    val difftest = Module(new DifftestAtomicEvent)
2672225d46eSJiawei Lin    difftest.io.clock      := clock
26868f25d38Swakafa    difftest.io.coreid     := hardId.U
2692225d46eSJiawei Lin    difftest.io.atomicResp := io.dcache.resp.fire()
2702225d46eSJiawei Lin    difftest.io.atomicAddr := paddr_reg
2712225d46eSJiawei Lin    difftest.io.atomicData := data_reg
2722225d46eSJiawei Lin    difftest.io.atomicMask := mask_reg
2732225d46eSJiawei Lin    difftest.io.atomicFuop := fuop_reg
2742225d46eSJiawei Lin    difftest.io.atomicOut  := resp_data_wire
2758a5bdd64Swangkaifan  }
276024ee227SWilliam Wang}
277