1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 233c02ee8fSwakafaimport utility._ 24024ee227SWilliam Wangimport xiangshan._ 253b739f49SXuan Huimport xiangshan.cache.{AtomicWordIO, HasDCacheParameters, MemoryOpConstants} 26ca2f90a6SLemoverimport xiangshan.cache.mmu.{TlbCmd, TlbRequestIO} 272225d46eSJiawei Linimport difftest._ 286ab6918fSYinan Xuimport xiangshan.ExceptionNO._ 29ca2f90a6SLemoverimport xiangshan.backend.fu.PMPRespBundle 30730cfbc0SXuan Huimport xiangshan.backend.Bundles.{MemExuInput, MemExuOutput} 317e0f64b0SGuanghui Chengimport xiangshan.backend.fu.NewCSR.TriggerUtil 32f7af4c74Schengguanghuiimport xiangshan.backend.fu.util.SdtrigExt 33024ee227SWilliam Wang 34f7af4c74Schengguanghuiclass AtomicsUnit(implicit p: Parameters) extends XSModule 35f7af4c74Schengguanghui with MemoryOpConstants 36f7af4c74Schengguanghui with HasDCacheParameters 37f7af4c74Schengguanghui with SdtrigExt{ 38024ee227SWilliam Wang val io = IO(new Bundle() { 39f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 403b739f49SXuan Hu val in = Flipped(Decoupled(new MemExuInput)) 413b739f49SXuan Hu val storeDataIn = Flipped(Valid(new MemExuOutput)) // src2 from rs 423b739f49SXuan Hu val out = Decoupled(new MemExuOutput) 436786cfb7SWilliam Wang val dcache = new AtomicWordIO 4403efd994Shappy-lx val dtlb = new TlbRequestIO(2) 45ca2f90a6SLemover val pmpResp = Flipped(new PMPRespBundle()) 46024ee227SWilliam Wang val flush_sbuffer = new SbufferFlushBundle 47d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback) 48024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 49ad415ae0SXiaokun-Pei val exceptionInfo = ValidIO(new Bundle { 50db6cfb5aSHaoyuan Feng val vaddr = UInt(XLEN.W) 51db6cfb5aSHaoyuan Feng val gpaddr = UInt(XLEN.W) 52ad415ae0SXiaokun-Pei val isForVSnonLeafPTE = Bool() 53d0de7e4aSpeixiaokun }) 54026615fcSWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 55024ee227SWilliam Wang }) 56024ee227SWilliam Wang 57024ee227SWilliam Wang //------------------------------------------------------- 58024ee227SWilliam Wang // Atomics Memory Accsess FSM 59024ee227SWilliam Wang //------------------------------------------------------- 6052180d7eShappy-lx val s_invalid :: s_tlb_and_flush_sbuffer_req :: s_pm :: s_wait_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_cache_resp_latch :: s_finish :: Nil = Enum(8) 61024ee227SWilliam Wang val state = RegInit(s_invalid) 624f39c746SYinan Xu val out_valid = RegInit(false.B) 631b7adedcSWilliam Wang val data_valid = RegInit(false.B) 643b739f49SXuan Hu val in = Reg(new MemExuInput()) 650d045bd0SYinan Xu val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec())) 66204141efSGuanghui Cheng val trigger = RegInit(TriggerAction.None) 67024ee227SWilliam Wang val atom_override_xtval = RegInit(false.B) 686fce12d9SWilliam Wang val have_sent_first_tlb_req = RegInit(false.B) 69024ee227SWilliam Wang // paddr after translation 70024ee227SWilliam Wang val paddr = Reg(UInt()) 71d0de7e4aSpeixiaokun val gpaddr = Reg(UInt()) 72bbd4b852SWilliam Wang val vaddr = in.src(0) 73cff68e26SWilliam Wang val is_mmio = Reg(Bool()) 74ad415ae0SXiaokun-Pei val isForVSnonLeafPTE = Reg(Bool()) 75f9ac118cSHaoyuan Feng 76024ee227SWilliam Wang // dcache response data 77024ee227SWilliam Wang val resp_data = Reg(UInt()) 78f97664b3Swangkaifan val resp_data_wire = WireInit(0.U) 79024ee227SWilliam Wang val is_lrsc_valid = Reg(Bool()) 8052180d7eShappy-lx // sbuffer is empty or not 8152180d7eShappy-lx val sbuffer_empty = io.flush_sbuffer.empty 82024ee227SWilliam Wang 83bbd4b852SWilliam Wang 848a5bdd64Swangkaifan // Difftest signals 858a5bdd64Swangkaifan val paddr_reg = Reg(UInt(64.W)) 868a5bdd64Swangkaifan val data_reg = Reg(UInt(64.W)) 878a5bdd64Swangkaifan val mask_reg = Reg(UInt(8.W)) 88f97664b3Swangkaifan val fuop_reg = Reg(UInt(8.W)) 898a5bdd64Swangkaifan 90ad415ae0SXiaokun-Pei io.exceptionInfo.valid := atom_override_xtval 91ad415ae0SXiaokun-Pei io.exceptionInfo.bits.vaddr := in.src(0) 92ad415ae0SXiaokun-Pei io.exceptionInfo.bits.gpaddr := gpaddr 93ad415ae0SXiaokun-Pei io.exceptionInfo.bits.isForVSnonLeafPTE := isForVSnonLeafPTE 94024ee227SWilliam Wang 95024ee227SWilliam Wang // assign default value to output signals 96024ee227SWilliam Wang io.in.ready := false.B 97024ee227SWilliam Wang 98024ee227SWilliam Wang io.dcache.req.valid := false.B 99024ee227SWilliam Wang io.dcache.req.bits := DontCare 100024ee227SWilliam Wang 101024ee227SWilliam Wang io.dtlb.req.valid := false.B 102024ee227SWilliam Wang io.dtlb.req.bits := DontCare 103c3b763d0SYinan Xu io.dtlb.req_kill := false.B 1049930e66fSLemover io.dtlb.resp.ready := true.B 105024ee227SWilliam Wang 106024ee227SWilliam Wang io.flush_sbuffer.valid := false.B 107024ee227SWilliam Wang 108024ee227SWilliam Wang when (state === s_invalid) { 109024ee227SWilliam Wang io.in.ready := true.B 1104f39c746SYinan Xu when (io.in.fire) { 111024ee227SWilliam Wang in := io.in.bits 1122bd5334dSYinan Xu in.src(1) := in.src(1) // leave src2 unchanged 11352180d7eShappy-lx state := s_tlb_and_flush_sbuffer_req 1146fce12d9SWilliam Wang have_sent_first_tlb_req := false.B 1151b7adedcSWilliam Wang } 11682d348fbSLemover } 11782d348fbSLemover 1184f39c746SYinan Xu when (io.storeDataIn.fire) { 1192bd5334dSYinan Xu in.src(1) := io.storeDataIn.bits.data 1201b7adedcSWilliam Wang data_valid := true.B 1211b7adedcSWilliam Wang } 122024ee227SWilliam Wang 123*074ad6aaSzhanglinjuan // TODO: remove this for AMOCAS 1244f39c746SYinan Xu assert(!(io.storeDataIn.fire && data_valid), "atomic unit re-receive data") 1251b7adedcSWilliam Wang 126024ee227SWilliam Wang // Send TLB feedback to store issue queue 127024ee227SWilliam Wang // we send feedback right after we receives request 128024ee227SWilliam Wang // also, we always treat amo as tlb hit 129024ee227SWilliam Wang // since we will continue polling tlb all by ourself 1305adc4829SYanqin Li io.feedbackSlow.valid := GatedValidRegNext(GatedValidRegNext(io.in.valid)) 131d87b76aaSWilliam Wang io.feedbackSlow.bits.hit := true.B 1325db4956bSzhanglyGit io.feedbackSlow.bits.robIdx := RegEnable(io.in.bits.uop.robIdx, io.in.valid) 13338f78b5dSxiaofeibao-xjtu io.feedbackSlow.bits.sqIdx := RegEnable(io.in.bits.uop.sqIdx, io.in.valid) 13428ac1c16Sxiaofeibao-xjtu io.feedbackSlow.bits.lqIdx := RegEnable(io.in.bits.uop.lqIdx, io.in.valid) 135d87b76aaSWilliam Wang io.feedbackSlow.bits.flushState := DontCare 136d87b76aaSWilliam Wang io.feedbackSlow.bits.sourceType := DontCare 137c7160cd3SWilliam Wang io.feedbackSlow.bits.dataInvalidSqIdx := DontCare 138024ee227SWilliam Wang 139204141efSGuanghui Cheng // atomic trigger 140204141efSGuanghui Cheng val csrCtrl = io.csrCtrl 141204141efSGuanghui Cheng val tdata = Reg(Vec(TriggerNum, new MatchTriggerIO)) 142204141efSGuanghui Cheng val tEnableVec = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) 143204141efSGuanghui Cheng tEnableVec := csrCtrl.mem_trigger.tEnableVec 144204141efSGuanghui Cheng when (csrCtrl.mem_trigger.tUpdate.valid) { 145204141efSGuanghui Cheng tdata(csrCtrl.mem_trigger.tUpdate.bits.addr) := csrCtrl.mem_trigger.tUpdate.bits.tdata 146204141efSGuanghui Cheng } 147204141efSGuanghui Cheng 148204141efSGuanghui Cheng val debugMode = csrCtrl.mem_trigger.debugMode 149204141efSGuanghui Cheng val triggerCanRaiseBpExp = csrCtrl.mem_trigger.triggerCanRaiseBpExp 150204141efSGuanghui Cheng val backendTriggerTimingVec = VecInit(tdata.map(_.timing)) 151204141efSGuanghui Cheng val backendTriggerChainVec = VecInit(tdata.map(_.chain)) 152204141efSGuanghui Cheng val backendTriggerHitVec = WireInit(VecInit(Seq.fill(TriggerNum)(false.B))) 153204141efSGuanghui Cheng val backendTriggerCanFireVec = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) 154204141efSGuanghui Cheng 155*074ad6aaSzhanglinjuan assert(state === s_invalid || in.uop.fuOpType(1,0) === "b10".U || in.uop.fuOpType(1,0) === "b11".U, 156*074ad6aaSzhanglinjuan "Only word or doubleword is supported") 157*074ad6aaSzhanglinjuan val isLr = in.uop.fuOpType === LSUOpType.lr_w || in.uop.fuOpType === LSUOpType.lr_d 158*074ad6aaSzhanglinjuan val isSc = in.uop.fuOpType === LSUOpType.sc_w || in.uop.fuOpType === LSUOpType.sc_d 159*074ad6aaSzhanglinjuan val isNotLr = !isLr 160*074ad6aaSzhanglinjuan val isNotSc = !isSc 161204141efSGuanghui Cheng 162204141efSGuanghui Cheng // store trigger 163204141efSGuanghui Cheng val store_hit = Wire(Vec(TriggerNum, Bool())) 164204141efSGuanghui Cheng for (j <- 0 until TriggerNum) { 165204141efSGuanghui Cheng store_hit(j) := !tdata(j).select && !debugMode && isNotLr && TriggerCmp( 166204141efSGuanghui Cheng vaddr, 167204141efSGuanghui Cheng tdata(j).tdata2, 168204141efSGuanghui Cheng tdata(j).matchType, 169204141efSGuanghui Cheng tEnableVec(j) && tdata(j).store 170204141efSGuanghui Cheng ) 171204141efSGuanghui Cheng } 172204141efSGuanghui Cheng // load trigger 173204141efSGuanghui Cheng val load_hit = Wire(Vec(TriggerNum, Bool())) 174204141efSGuanghui Cheng for (j <- 0 until TriggerNum) { 175204141efSGuanghui Cheng load_hit(j) := !tdata(j).select && !debugMode && isNotSc && TriggerCmp( 176204141efSGuanghui Cheng vaddr, 177204141efSGuanghui Cheng tdata(j).tdata2, 178204141efSGuanghui Cheng tdata(j).matchType, 179204141efSGuanghui Cheng tEnableVec(j) && tdata(j).load 180204141efSGuanghui Cheng ) 181204141efSGuanghui Cheng } 182204141efSGuanghui Cheng backendTriggerHitVec := store_hit.zip(load_hit).map { case (sh, lh) => sh || lh } 183204141efSGuanghui Cheng // triggerCanFireVec will update at T+1 184204141efSGuanghui Cheng TriggerCheckCanFire(TriggerNum, backendTriggerCanFireVec, backendTriggerHitVec, backendTriggerTimingVec, backendTriggerChainVec) 185204141efSGuanghui Cheng 186204141efSGuanghui Cheng val actionVec = VecInit(tdata.map(_.action)) 187204141efSGuanghui Cheng val triggerAction = Wire(TriggerAction()) 188204141efSGuanghui Cheng TriggerUtil.triggerActionGen(triggerAction, backendTriggerCanFireVec, actionVec, triggerCanRaiseBpExp) 189b0a60050SGuanghui Cheng val triggerDebugMode = TriggerAction.isDmode(triggerAction) 190b0a60050SGuanghui Cheng val triggerBreakpoint = TriggerAction.isExp(triggerAction) 191204141efSGuanghui Cheng 192024ee227SWilliam Wang // tlb translation, manipulating signals && deal with exception 19352180d7eShappy-lx // at the same time, flush sbuffer 19452180d7eShappy-lx when (state === s_tlb_and_flush_sbuffer_req) { 195024ee227SWilliam Wang // send req to dtlb 196024ee227SWilliam Wang // keep firing until tlb hit 197024ee227SWilliam Wang io.dtlb.req.valid := true.B 1982bd5334dSYinan Xu io.dtlb.req.bits.vaddr := in.src(0) 199db6cfb5aSHaoyuan Feng io.dtlb.req.bits.fullva := in.src(0) 200db6cfb5aSHaoyuan Feng io.dtlb.req.bits.checkfullva := true.B 2010fedb24cSWilliam Wang io.dtlb.resp.ready := true.B 2020fedb24cSWilliam Wang io.dtlb.req.bits.cmd := Mux(isLr, TlbCmd.atom_read, TlbCmd.atom_write) 2033b739f49SXuan Hu io.dtlb.req.bits.debug.pc := in.uop.pc 204a4f9c77fSpeixiaokun io.dtlb.req.bits.debug.robIdx := in.uop.robIdx 205ee46cd6eSLemover io.dtlb.req.bits.debug.isFirstIssue := false.B 2068744445eSMaxpicca-Li io.out.bits.uop.debugInfo.tlbFirstReqTime := GTimer() // FIXME lyq: it will be always assigned 207024ee227SWilliam Wang 20852180d7eShappy-lx // send req to sbuffer to flush it if it is not empty 209*074ad6aaSzhanglinjuan io.flush_sbuffer.valid := !sbuffer_empty 21052180d7eShappy-lx 2116fce12d9SWilliam Wang // do not accept tlb resp in the first cycle 2126fce12d9SWilliam Wang // this limition is for hw prefetcher 2136fce12d9SWilliam Wang // when !have_sent_first_tlb_req, tlb resp may come from hw prefetch 2146fce12d9SWilliam Wang have_sent_first_tlb_req := true.B 2156fce12d9SWilliam Wang 2166fce12d9SWilliam Wang when (io.dtlb.resp.fire && have_sent_first_tlb_req){ 21703efd994Shappy-lx paddr := io.dtlb.resp.bits.paddr(0) 218d0de7e4aSpeixiaokun gpaddr := io.dtlb.resp.bits.gpaddr(0) 219ad415ae0SXiaokun-Pei isForVSnonLeafPTE := io.dtlb.resp.bits.isForVSnonLeafPTE 220024ee227SWilliam Wang // exception handling 2213b739f49SXuan Hu val addrAligned = LookupTree(in.uop.fuOpType(1,0), List( 2222bd5334dSYinan Xu "b10".U -> (in.src(0)(1,0) === 0.U), //w 2232bd5334dSYinan Xu "b11".U -> (in.src(0)(2,0) === 0.U) //d 224024ee227SWilliam Wang )) 2258c343485SWilliam Wang exceptionVec(loadAddrMisaligned) := !addrAligned && isLr 2268c343485SWilliam Wang exceptionVec(storeAddrMisaligned) := !addrAligned && !isLr 22703efd994Shappy-lx exceptionVec(storePageFault) := io.dtlb.resp.bits.excp(0).pf.st 22803efd994Shappy-lx exceptionVec(loadPageFault) := io.dtlb.resp.bits.excp(0).pf.ld 22903efd994Shappy-lx exceptionVec(storeAccessFault) := io.dtlb.resp.bits.excp(0).af.st 23003efd994Shappy-lx exceptionVec(loadAccessFault) := io.dtlb.resp.bits.excp(0).af.ld 231d0de7e4aSpeixiaokun exceptionVec(storeGuestPageFault) := io.dtlb.resp.bits.excp(0).gpf.st 232d0de7e4aSpeixiaokun exceptionVec(loadGuestPageFault) := io.dtlb.resp.bits.excp(0).gpf.ld 233e9092fe2SLemover 234b0a60050SGuanghui Cheng exceptionVec(breakPoint) := triggerBreakpoint 235204141efSGuanghui Cheng trigger := triggerAction 236204141efSGuanghui Cheng 237e9092fe2SLemover when (!io.dtlb.resp.bits.miss) { 2388744445eSMaxpicca-Li io.out.bits.uop.debugInfo.tlbRespTime := GTimer() 239b0a60050SGuanghui Cheng when (!addrAligned || triggerDebugMode || triggerBreakpoint) { 240b0a60050SGuanghui Cheng // NOTE: when addrAligned or trigger fire, do not need to wait tlb actually 241e9092fe2SLemover // check for miss aligned exceptions, tlb exception are checked next cycle for timing 242024ee227SWilliam Wang // if there are exceptions, no need to execute it 243024ee227SWilliam Wang state := s_finish 2444f39c746SYinan Xu out_valid := true.B 245024ee227SWilliam Wang atom_override_xtval := true.B 246024ee227SWilliam Wang } .otherwise { 247ca2f90a6SLemover state := s_pm 248024ee227SWilliam Wang } 249024ee227SWilliam Wang } 250024ee227SWilliam Wang } 251e9092fe2SLemover } 252024ee227SWilliam Wang 253ca2f90a6SLemover when (state === s_pm) { 254cba0a7e0SLemover val pmp = WireInit(io.pmpResp) 255cba0a7e0SLemover is_mmio := pmp.mmio 256f9ac118cSHaoyuan Feng 257e9092fe2SLemover // NOTE: only handle load/store exception here, if other exception happens, don't send here 258e9092fe2SLemover val exception_va = exceptionVec(storePageFault) || exceptionVec(loadPageFault) || 259efe8c804Sxuzefan exceptionVec(storeGuestPageFault) || exceptionVec(loadGuestPageFault) || 260e9092fe2SLemover exceptionVec(storeAccessFault) || exceptionVec(loadAccessFault) 26152922235SHaoyuan Feng val exception_pa = pmp.st || pmp.ld || pmp.mmio 262e9092fe2SLemover when (exception_va || exception_pa) { 263ca2f90a6SLemover state := s_finish 2644f39c746SYinan Xu out_valid := true.B 265ca2f90a6SLemover atom_override_xtval := true.B 266ca2f90a6SLemover }.otherwise { 26752180d7eShappy-lx // if sbuffer has been flushed, go to query dcache, otherwise wait for sbuffer. 26852180d7eShappy-lx state := Mux(sbuffer_empty, s_cache_req, s_wait_flush_sbuffer_resp); 269ca2f90a6SLemover } 2700fedb24cSWilliam Wang // update storeAccessFault bit 27152922235SHaoyuan Feng exceptionVec(loadAccessFault) := exceptionVec(loadAccessFault) || (pmp.ld || pmp.mmio) && isLr 27252922235SHaoyuan Feng exceptionVec(storeAccessFault) := exceptionVec(storeAccessFault) || pmp.st || (pmp.ld || pmp.mmio) && !isLr 273ca2f90a6SLemover } 274024ee227SWilliam Wang 27552180d7eShappy-lx when (state === s_wait_flush_sbuffer_resp) { 27652180d7eShappy-lx when (sbuffer_empty) { 277024ee227SWilliam Wang state := s_cache_req 278024ee227SWilliam Wang } 279024ee227SWilliam Wang } 280024ee227SWilliam Wang 281024ee227SWilliam Wang when (state === s_cache_req) { 28262cb71fbShappy-lx val pipe_req = io.dcache.req.bits 28362cb71fbShappy-lx pipe_req := DontCare 28462cb71fbShappy-lx 2853b739f49SXuan Hu pipe_req.cmd := LookupTree(in.uop.fuOpType, List( 286024ee227SWilliam Wang LSUOpType.lr_w -> M_XLR, 287024ee227SWilliam Wang LSUOpType.sc_w -> M_XSC, 288024ee227SWilliam Wang LSUOpType.amoswap_w -> M_XA_SWAP, 289024ee227SWilliam Wang LSUOpType.amoadd_w -> M_XA_ADD, 290024ee227SWilliam Wang LSUOpType.amoxor_w -> M_XA_XOR, 291024ee227SWilliam Wang LSUOpType.amoand_w -> M_XA_AND, 292024ee227SWilliam Wang LSUOpType.amoor_w -> M_XA_OR, 293024ee227SWilliam Wang LSUOpType.amomin_w -> M_XA_MIN, 294024ee227SWilliam Wang LSUOpType.amomax_w -> M_XA_MAX, 295024ee227SWilliam Wang LSUOpType.amominu_w -> M_XA_MINU, 296024ee227SWilliam Wang LSUOpType.amomaxu_w -> M_XA_MAXU, 297024ee227SWilliam Wang 298024ee227SWilliam Wang LSUOpType.lr_d -> M_XLR, 299024ee227SWilliam Wang LSUOpType.sc_d -> M_XSC, 300024ee227SWilliam Wang LSUOpType.amoswap_d -> M_XA_SWAP, 301024ee227SWilliam Wang LSUOpType.amoadd_d -> M_XA_ADD, 302024ee227SWilliam Wang LSUOpType.amoxor_d -> M_XA_XOR, 303024ee227SWilliam Wang LSUOpType.amoand_d -> M_XA_AND, 304024ee227SWilliam Wang LSUOpType.amoor_d -> M_XA_OR, 305024ee227SWilliam Wang LSUOpType.amomin_d -> M_XA_MIN, 306024ee227SWilliam Wang LSUOpType.amomax_d -> M_XA_MAX, 307024ee227SWilliam Wang LSUOpType.amominu_d -> M_XA_MINU, 308024ee227SWilliam Wang LSUOpType.amomaxu_d -> M_XA_MAXU 309024ee227SWilliam Wang )) 31062cb71fbShappy-lx pipe_req.miss := false.B 31162cb71fbShappy-lx pipe_req.probe := false.B 31262cb71fbShappy-lx pipe_req.probe_need_data := false.B 31362cb71fbShappy-lx pipe_req.source := AMO_SOURCE.U 31462cb71fbShappy-lx pipe_req.addr := get_block_addr(paddr) 31562cb71fbShappy-lx pipe_req.vaddr := get_block_addr(in.src(0)) // vaddr 31662cb71fbShappy-lx pipe_req.word_idx := get_word(paddr) 3173b739f49SXuan Hu pipe_req.amo_data := genWdata(in.src(1), in.uop.fuOpType(1,0)) 3183b739f49SXuan Hu pipe_req.amo_mask := genWmask(paddr, in.uop.fuOpType(1,0)) 319024ee227SWilliam Wang 32062cb71fbShappy-lx io.dcache.req.valid := Mux( 32162cb71fbShappy-lx io.dcache.req.bits.cmd === M_XLR, 32262cb71fbShappy-lx !io.dcache.block_lr, // block lr to survive in lr storm 32352180d7eShappy-lx data_valid // wait until src(1) is ready 32462cb71fbShappy-lx ) 325024ee227SWilliam Wang 3264f39c746SYinan Xu when(io.dcache.req.fire){ 327024ee227SWilliam Wang state := s_cache_resp 32862cb71fbShappy-lx paddr_reg := paddr 32962cb71fbShappy-lx data_reg := io.dcache.req.bits.amo_data 33062cb71fbShappy-lx mask_reg := io.dcache.req.bits.amo_mask 3313b739f49SXuan Hu fuop_reg := in.uop.fuOpType 332024ee227SWilliam Wang } 333024ee227SWilliam Wang } 334024ee227SWilliam Wang 33562cb71fbShappy-lx val dcache_resp_data = Reg(UInt()) 33662cb71fbShappy-lx val dcache_resp_id = Reg(UInt()) 33762cb71fbShappy-lx val dcache_resp_error = Reg(Bool()) 33862cb71fbShappy-lx 339024ee227SWilliam Wang when (state === s_cache_resp) { 34062cb71fbShappy-lx // when not miss 34162cb71fbShappy-lx // everything is OK, simply send response back to sbuffer 34262cb71fbShappy-lx // when miss and not replay 34362cb71fbShappy-lx // wait for missQueue to handling miss and replaying our request 34462cb71fbShappy-lx // when miss and replay 34562cb71fbShappy-lx // req missed and fail to enter missQueue, manually replay it later 34662cb71fbShappy-lx // TODO: add assertions: 34762cb71fbShappy-lx // 1. add a replay delay counter? 34862cb71fbShappy-lx // 2. when req gets into MissQueue, it should not miss any more 349935edac4STang Haojin when(io.dcache.resp.fire) { 35062cb71fbShappy-lx when(io.dcache.resp.bits.miss) { 35162cb71fbShappy-lx when(io.dcache.resp.bits.replay) { 35262cb71fbShappy-lx state := s_cache_req 35362cb71fbShappy-lx } 35462cb71fbShappy-lx } .otherwise { 35562cb71fbShappy-lx dcache_resp_data := io.dcache.resp.bits.data 35662cb71fbShappy-lx dcache_resp_id := io.dcache.resp.bits.id 35762cb71fbShappy-lx dcache_resp_error := io.dcache.resp.bits.error 35862cb71fbShappy-lx state := s_cache_resp_latch 35962cb71fbShappy-lx } 36062cb71fbShappy-lx } 36162cb71fbShappy-lx } 36262cb71fbShappy-lx 36362cb71fbShappy-lx when (state === s_cache_resp_latch) { 36462cb71fbShappy-lx is_lrsc_valid := dcache_resp_id 365024ee227SWilliam Wang val rdataSel = LookupTree(paddr(2, 0), List( 36662cb71fbShappy-lx "b000".U -> dcache_resp_data(63, 0), 367*074ad6aaSzhanglinjuan "b100".U -> dcache_resp_data(63, 32) 368024ee227SWilliam Wang )) 369024ee227SWilliam Wang 370*074ad6aaSzhanglinjuan resp_data_wire := Mux( 371*074ad6aaSzhanglinjuan isSc, 372*074ad6aaSzhanglinjuan dcache_resp_data, 373*074ad6aaSzhanglinjuan LookupTree(in.uop.fuOpType(1,0), List( 374*074ad6aaSzhanglinjuan "b10".U -> SignExt(rdataSel(31, 0), XLEN), // w 375*074ad6aaSzhanglinjuan "b11".U -> SignExt(rdataSel(63, 0), XLEN) // d 376024ee227SWilliam Wang )) 377*074ad6aaSzhanglinjuan ) 378024ee227SWilliam Wang 37962cb71fbShappy-lx when (dcache_resp_error && io.csrCtrl.cache_error_enable) { 380026615fcSWilliam Wang exceptionVec(loadAccessFault) := isLr 381026615fcSWilliam Wang exceptionVec(storeAccessFault) := !isLr 382026615fcSWilliam Wang assert(!exceptionVec(loadAccessFault)) 383026615fcSWilliam Wang assert(!exceptionVec(storeAccessFault)) 384026615fcSWilliam Wang } 385026615fcSWilliam Wang 386f97664b3Swangkaifan resp_data := resp_data_wire 387024ee227SWilliam Wang state := s_finish 3884f39c746SYinan Xu out_valid := true.B 389024ee227SWilliam Wang } 390024ee227SWilliam Wang 3914f39c746SYinan Xu io.out.valid := out_valid 3924f39c746SYinan Xu XSError((state === s_finish) =/= out_valid, "out_valid reg error\n") 3934f39c746SYinan Xu io.out.bits := DontCare 394024ee227SWilliam Wang io.out.bits.uop := in.uop 3953b739f49SXuan Hu io.out.bits.uop.exceptionVec := exceptionVec 396204141efSGuanghui Cheng io.out.bits.uop.trigger := trigger 397024ee227SWilliam Wang io.out.bits.data := resp_data 398cff68e26SWilliam Wang io.out.bits.debug.isMMIO := is_mmio 39907635e87Swangkaifan io.out.bits.debug.paddr := paddr 4004f39c746SYinan Xu when (io.out.fire) { 4013b739f49SXuan Hu XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.pc, io.dcache.resp.bits.data) 402024ee227SWilliam Wang state := s_invalid 4034f39c746SYinan Xu out_valid := false.B 404024ee227SWilliam Wang } 4054f39c746SYinan Xu 4064f39c746SYinan Xu when (state === s_finish) { 40782d348fbSLemover data_valid := false.B 408024ee227SWilliam Wang } 409024ee227SWilliam Wang 410f4b2089aSYinan Xu when (io.redirect.valid) { 411024ee227SWilliam Wang atom_override_xtval := false.B 412024ee227SWilliam Wang } 4138a5bdd64Swangkaifan 4141545277aSYinan Xu if (env.EnableDifftest) { 4157d45a146SYinan Xu val difftest = DifftestModule(new DiffAtomicEvent) 4167d45a146SYinan Xu difftest.coreid := io.hartId 4177d45a146SYinan Xu difftest.valid := state === s_cache_resp_latch 4187d45a146SYinan Xu difftest.addr := paddr_reg 4197d45a146SYinan Xu difftest.data := data_reg 4207d45a146SYinan Xu difftest.mask := mask_reg 4217d45a146SYinan Xu difftest.fuop := fuop_reg 4227d45a146SYinan Xu difftest.out := resp_data_wire 4238a5bdd64Swangkaifan } 424e13d224aSYinan Xu 425e13d224aSYinan Xu if (env.EnableDifftest || env.AlwaysBasicDiff) { 426e13d224aSYinan Xu val uop = io.out.bits.uop 4277d45a146SYinan Xu val difftest = DifftestModule(new DiffLrScEvent) 4287d45a146SYinan Xu difftest.coreid := io.hartId 4297d45a146SYinan Xu difftest.valid := io.out.fire && 4303b739f49SXuan Hu (uop.fuOpType === LSUOpType.sc_d || uop.fuOpType === LSUOpType.sc_w) 4317d45a146SYinan Xu difftest.success := is_lrsc_valid 432e13d224aSYinan Xu } 433024ee227SWilliam Wang} 434