1980c1bc3SWilliam Wang/*************************************************************************************** 2980c1bc3SWilliam Wang* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3980c1bc3SWilliam Wang* Copyright (c) 2020-2021 Peng Cheng Laboratory 4980c1bc3SWilliam Wang* 5980c1bc3SWilliam Wang* XiangShan is licensed under Mulan PSL v2. 6980c1bc3SWilliam Wang* You can use this software according to the terms and conditions of the Mulan PSL v2. 7980c1bc3SWilliam Wang* You may obtain a copy of Mulan PSL v2 at: 8980c1bc3SWilliam Wang* http://license.coscl.org.cn/MulanPSL2 9980c1bc3SWilliam Wang* 10980c1bc3SWilliam Wang* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11980c1bc3SWilliam Wang* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12980c1bc3SWilliam Wang* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13980c1bc3SWilliam Wang* 14980c1bc3SWilliam Wang* See the Mulan PSL v2 for more details. 15980c1bc3SWilliam Wang***************************************************************************************/ 16980c1bc3SWilliam Wang 17980c1bc3SWilliam Wangpackage xiangshan.mem.mdp 18980c1bc3SWilliam Wang 19980c1bc3SWilliam Wangimport chipsalliance.rocketchip.config.Parameters 20980c1bc3SWilliam Wangimport chisel3._ 21980c1bc3SWilliam Wangimport chisel3.util._ 22980c1bc3SWilliam Wangimport xiangshan._ 23980c1bc3SWilliam Wangimport utils._ 24*3c02ee8fSwakafaimport utility._ 25980c1bc3SWilliam Wang 26980c1bc3SWilliam Wang// 21264-like wait table, uses 2-bit counter 27980c1bc3SWilliam Wangclass WaitTable(implicit p: Parameters) extends XSModule { 28980c1bc3SWilliam Wang val io = IO(new Bundle { 29980c1bc3SWilliam Wang // to decode 30980c1bc3SWilliam Wang val raddr = Vec(DecodeWidth, Input(UInt(MemPredPCWidth.W))) // decode pc(VaddrBits-1, 1) 31980c1bc3SWilliam Wang val rdata = Vec(DecodeWidth, Output(Bool())) // loadWaitBit 32980c1bc3SWilliam Wang val update = Input(new MemPredUpdateReq) // RegNext should be added outside 33980c1bc3SWilliam Wang val csrCtrl = Input(new CustomCSRCtrlIO) 34980c1bc3SWilliam Wang }) 35980c1bc3SWilliam Wang 36980c1bc3SWilliam Wang require(DecodeWidth == RenameWidth) 37980c1bc3SWilliam Wang 38980c1bc3SWilliam Wang val data = RegInit(VecInit(Seq.fill(WaitTableSize)(0.U(2.W)))) 39980c1bc3SWilliam Wang val resetCounter = RegInit(0.U(ResetTimeMax2Pow.W)) 40980c1bc3SWilliam Wang resetCounter := resetCounter + 1.U 41980c1bc3SWilliam Wang 42980c1bc3SWilliam Wang // read ports 43980c1bc3SWilliam Wang for (i <- 0 until DecodeWidth) { 44980c1bc3SWilliam Wang io.rdata(i) := (data(io.raddr(i))(LWTUse2BitCounter.B.asUInt) || io.csrCtrl.no_spec_load) && !io.csrCtrl.lvpred_disable 45980c1bc3SWilliam Wang } 46980c1bc3SWilliam Wang 47980c1bc3SWilliam Wang // write port 48980c1bc3SWilliam Wang when(io.update.valid){ 49980c1bc3SWilliam Wang data(io.update.waddr) := Cat(data(io.update.waddr)(0), true.B) 50980c1bc3SWilliam Wang } 51980c1bc3SWilliam Wang 52980c1bc3SWilliam Wang // reset period: ResetTimeMax2Pow 53980c1bc3SWilliam Wang when(resetCounter(ResetTimeMax2Pow-1, ResetTimeMin2Pow)(RegNext(io.csrCtrl.lvpred_timeout))) { 54980c1bc3SWilliam Wang for (j <- 0 until WaitTableSize) { 55980c1bc3SWilliam Wang data(j) := 0.U 56980c1bc3SWilliam Wang } 57980c1bc3SWilliam Wang resetCounter:= 0.U 58980c1bc3SWilliam Wang } 59980c1bc3SWilliam Wang 60980c1bc3SWilliam Wang // debug 61980c1bc3SWilliam Wang when (io.update.valid) { 62980c1bc3SWilliam Wang XSDebug("%d: waittable update: pc %x data: %x\n", GTimer(), io.update.waddr, io.update.wdata) 63980c1bc3SWilliam Wang } 64980c1bc3SWilliam Wang 65980c1bc3SWilliam Wang XSPerfAccumulate("wait_table_bit_set", PopCount(data.map(d => d(1)))) 66980c1bc3SWilliam Wang} 67