xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/StoreQueue.scala (revision f57f7f2aa52bf8c9d7952402ff7d36066bf8e1b3)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chisel3._
20import chisel3.util._
21import difftest._
22import difftest.common.DifftestMem
23import org.chipsalliance.cde.config.Parameters
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.backend.rob.RobLsqIO
28import xiangshan.cache._
29
30class SqPtr(implicit p: Parameters) extends CircularQueuePtr[SqPtr](
31  p => p(XSCoreParamsKey).StoreQueueSize
32){
33}
34
35object SqPtr {
36  def apply(f: Bool, v: UInt)(implicit p: Parameters): SqPtr = {
37    val ptr = Wire(new SqPtr)
38    ptr.flag := f
39    ptr.value := v
40    ptr
41  }
42}
43
44class SqEnqIO(implicit p: Parameters) extends XSBundle {
45  val canAccept = Output(Bool())
46  val lqCanAccept = Input(Bool())
47  val needAlloc = Vec(exuParameters.LsExuCnt, Input(Bool()))
48  val req = Vec(exuParameters.LsExuCnt, Flipped(ValidIO(new MicroOp)))
49  val resp = Vec(exuParameters.LsExuCnt, Output(new SqPtr))
50}
51
52class DataBufferEntry (implicit p: Parameters)  extends DCacheBundle {
53  val addr   = UInt(PAddrBits.W)
54  val vaddr  = UInt(VAddrBits.W)
55  val data   = UInt(VLEN.W)
56  val mask   = UInt((VLEN/8).W)
57  val wline = Bool()
58  val sqPtr  = new SqPtr
59  val prefetch = Bool()
60}
61
62// Store Queue
63class StoreQueue(implicit p: Parameters) extends XSModule
64  with HasDCacheParameters with HasCircularQueuePtrHelper with HasPerfEvents {
65  val io = IO(new Bundle() {
66    val hartId = Input(UInt(hartIdLen.W))
67    val enq = new SqEnqIO
68    val brqRedirect = Flipped(ValidIO(new Redirect))
69    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // store addr, data is not included
70    val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // store more mmio and exception
71    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput))) // store data, send to sq from rs
72    val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // store mask, send to sq from rs
73    val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) // write committed store to sbuffer
74    val uncacheOutstanding = Input(Bool())
75    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
76    val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO))
77    val rob = Flipped(new RobLsqIO)
78    val uncache = new UncacheWordIO
79    // val refill = Flipped(Valid(new DCacheLineReq ))
80    val exceptionAddr = new ExceptionAddrIO
81    val sqEmpty = Output(Bool())
82    val stAddrReadySqPtr = Output(new SqPtr)
83    val stAddrReadyVec = Output(Vec(StoreQueueSize, Bool()))
84    val stDataReadySqPtr = Output(new SqPtr)
85    val stDataReadyVec = Output(Vec(StoreQueueSize, Bool()))
86    val stIssuePtr = Output(new SqPtr)
87    val sqDeqPtr = Output(new SqPtr)
88    val sqFull = Output(Bool())
89    val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W))
90    val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W))
91    val force_write = Output(Bool())
92  })
93
94  println("StoreQueue: size:" + StoreQueueSize)
95
96  // data modules
97  val uop = Reg(Vec(StoreQueueSize, new MicroOp))
98  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
99  val dataModule = Module(new SQDataModule(
100    numEntries = StoreQueueSize,
101    numRead = EnsbufferWidth,
102    numWrite = StorePipelineWidth,
103    numForward = StorePipelineWidth
104  ))
105  dataModule.io := DontCare
106  val paddrModule = Module(new SQAddrModule(
107    dataWidth = PAddrBits,
108    numEntries = StoreQueueSize,
109    numRead = EnsbufferWidth,
110    numWrite = StorePipelineWidth,
111    numForward = StorePipelineWidth
112  ))
113  paddrModule.io := DontCare
114  val vaddrModule = Module(new SQAddrModule(
115    dataWidth = VAddrBits,
116    numEntries = StoreQueueSize,
117    numRead = EnsbufferWidth + 1, // sbuffer + badvaddr 1 (TODO)
118    numWrite = StorePipelineWidth,
119    numForward = StorePipelineWidth
120  ))
121  vaddrModule.io := DontCare
122  val dataBuffer = Module(new DatamoduleResultBuffer(new DataBufferEntry))
123  val debug_paddr = Reg(Vec(StoreQueueSize, UInt((PAddrBits).W)))
124  val debug_vaddr = Reg(Vec(StoreQueueSize, UInt((VAddrBits).W)))
125  val debug_data = Reg(Vec(StoreQueueSize, UInt((XLEN).W)))
126
127  // state & misc
128  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
129  val addrvalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio addr is valid
130  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
131  val allvalid  = VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i))) // non-mmio data & addr is valid
132  val committed = Reg(Vec(StoreQueueSize, Bool())) // inst has been committed by rob
133  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob
134  val mmio = Reg(Vec(StoreQueueSize, Bool())) // mmio: inst is an mmio inst
135  val atomic = Reg(Vec(StoreQueueSize, Bool()))
136  val prefetch = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // need prefetch when committing this store to sbuffer?
137
138  // ptr
139  val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new SqPtr))))
140  val rdataPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
141  val deqPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
142  val cmtPtrExt = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new SqPtr))))
143  val addrReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
144  val dataReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
145  val validCounter = RegInit(0.U(log2Ceil(VirtualLoadQueueSize + 1).W))
146
147  val enqPtr = enqPtrExt(0).value
148  val deqPtr = deqPtrExt(0).value
149  val cmtPtr = cmtPtrExt(0).value
150
151  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
152  val allowEnqueue = validCount <= (StoreQueueSize - StorePipelineWidth).U
153
154  val deqMask = UIntToMask(deqPtr, StoreQueueSize)
155  val enqMask = UIntToMask(enqPtr, StoreQueueSize)
156
157  val commitCount = RegNext(io.rob.scommit)
158
159  // store can be committed by ROB
160  io.rob.mmio := DontCare
161  io.rob.uop := DontCare
162
163  // Read dataModule
164  assert(EnsbufferWidth <= 2)
165  // rdataPtrExtNext and rdataPtrExtNext+1 entry will be read from dataModule
166  val rdataPtrExtNext = WireInit(Mux(dataBuffer.io.enq(1).fire,
167    VecInit(rdataPtrExt.map(_ + 2.U)),
168    Mux(dataBuffer.io.enq(0).fire || io.mmioStout.fire,
169      VecInit(rdataPtrExt.map(_ + 1.U)),
170      rdataPtrExt
171    )
172  ))
173
174  // deqPtrExtNext traces which inst is about to leave store queue
175  //
176  // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
177  // Before data write finish, sbuffer is unable to provide store to load
178  // forward data. As an workaround, deqPtrExt and allocated flag update
179  // is delayed so that load can get the right data from store queue.
180  //
181  // Modify deqPtrExtNext and io.sqDeq with care!
182  val deqPtrExtNext = Mux(RegNext(io.sbuffer(1).fire),
183    VecInit(deqPtrExt.map(_ + 2.U)),
184    Mux(RegNext(io.sbuffer(0).fire) || io.mmioStout.fire,
185      VecInit(deqPtrExt.map(_ + 1.U)),
186      deqPtrExt
187    )
188  )
189  io.sqDeq := RegNext(Mux(RegNext(io.sbuffer(1).fire), 2.U,
190    Mux(RegNext(io.sbuffer(0).fire) || io.mmioStout.fire, 1.U, 0.U)
191  ))
192  assert(!RegNext(RegNext(io.sbuffer(0).fire) && io.mmioStout.fire))
193
194  for (i <- 0 until EnsbufferWidth) {
195    dataModule.io.raddr(i) := rdataPtrExtNext(i).value
196    paddrModule.io.raddr(i) := rdataPtrExtNext(i).value
197    vaddrModule.io.raddr(i) := rdataPtrExtNext(i).value
198  }
199
200  // no inst will be committed 1 cycle before tval update
201  vaddrModule.io.raddr(EnsbufferWidth) := (cmtPtrExt(0) + commitCount).value
202
203  /**
204    * Enqueue at dispatch
205    *
206    * Currently, StoreQueue only allows enqueue when #emptyEntries > EnqWidth
207    */
208  io.enq.canAccept := allowEnqueue
209  val canEnqueue = io.enq.req.map(_.valid)
210  val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect))
211  for (i <- 0 until io.enq.req.length) {
212    val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i))
213    val sqIdx = enqPtrExt(offset)
214    val index = io.enq.req(i).bits.sqIdx.value
215    when (canEnqueue(i) && !enqCancel(i)) {
216      uop(index) := io.enq.req(i).bits
217      // NOTE: the index will be used when replay
218      uop(index).sqIdx := sqIdx
219      allocated(index) := true.B
220      datavalid(index) := false.B
221      addrvalid(index) := false.B
222      committed(index) := false.B
223      pending(index) := false.B
224      prefetch(index) := false.B
225      mmio(index) := false.B
226
227      XSError(!io.enq.canAccept || !io.enq.lqCanAccept, s"must accept $i\n")
228      XSError(index =/= sqIdx.value, s"must be the same entry $i\n")
229    }
230    io.enq.resp(i) := sqIdx
231  }
232  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
233
234  /**
235    * Update addr/dataReadyPtr when issue from rs
236    */
237  // update issuePtr
238  val IssuePtrMoveStride = 4
239  require(IssuePtrMoveStride >= 2)
240
241  val addrReadyLookupVec = (0 until IssuePtrMoveStride).map(addrReadyPtrExt + _.U)
242  val addrReadyLookup = addrReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || addrvalid(ptr.value)) && ptr =/= enqPtrExt(0))
243  val nextAddrReadyPtr = addrReadyPtrExt + PriorityEncoder(VecInit(addrReadyLookup.map(!_) :+ true.B))
244  addrReadyPtrExt := nextAddrReadyPtr
245
246  (0 until StoreQueueSize).map(i => {
247    io.stAddrReadyVec(i) := RegNext(allocated(i) && (mmio(i) || addrvalid(i)))
248  })
249
250  when (io.brqRedirect.valid) {
251    addrReadyPtrExt := Mux(
252      isAfter(cmtPtrExt(0), deqPtrExt(0)),
253      cmtPtrExt(0),
254      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
255    )
256  }
257
258  io.stAddrReadySqPtr := addrReadyPtrExt
259
260  // update
261  val dataReadyLookupVec = (0 until IssuePtrMoveStride).map(dataReadyPtrExt + _.U)
262  val dataReadyLookup = dataReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || datavalid(ptr.value)) && ptr =/= enqPtrExt(0))
263  val nextDataReadyPtr = dataReadyPtrExt + PriorityEncoder(VecInit(dataReadyLookup.map(!_) :+ true.B))
264  dataReadyPtrExt := nextDataReadyPtr
265
266  (0 until StoreQueueSize).map(i => {
267    io.stDataReadyVec(i) := RegNext(allocated(i) && (mmio(i) || datavalid(i)))
268  })
269
270  when (io.brqRedirect.valid) {
271    dataReadyPtrExt := Mux(
272      isAfter(cmtPtrExt(0), deqPtrExt(0)),
273      cmtPtrExt(0),
274      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
275    )
276  }
277
278  io.stDataReadySqPtr := dataReadyPtrExt
279  io.stIssuePtr := enqPtrExt(0)
280  io.sqDeqPtr := deqPtrExt(0)
281
282  /**
283    * Writeback store from store units
284    *
285    * Most store instructions writeback to regfile in the previous cycle.
286    * However,
287    *   (1) For an mmio instruction with exceptions, we need to mark it as addrvalid
288    * (in this way it will trigger an exception when it reaches ROB's head)
289    * instead of pending to avoid sending them to lower level.
290    *   (2) For an mmio instruction without exceptions, we mark it as pending.
291    * When the instruction reaches ROB's head, StoreQueue sends it to uncache channel.
292    * Upon receiving the response, StoreQueue writes back the instruction
293    * through arbiter with store units. It will later commit as normal.
294    */
295
296  // Write addr to sq
297  for (i <- 0 until StorePipelineWidth) {
298    paddrModule.io.wen(i) := false.B
299    vaddrModule.io.wen(i) := false.B
300    dataModule.io.mask.wen(i) := false.B
301    val stWbIndex = io.storeAddrIn(i).bits.uop.sqIdx.value
302    when (io.storeAddrIn(i).fire) {
303      val addr_valid = !io.storeAddrIn(i).bits.miss
304      addrvalid(stWbIndex) := addr_valid //!io.storeAddrIn(i).bits.mmio
305      // pending(stWbIndex) := io.storeAddrIn(i).bits.mmio
306
307      paddrModule.io.waddr(i) := stWbIndex
308      paddrModule.io.wdata(i) := io.storeAddrIn(i).bits.paddr
309      paddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
310      paddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
311      paddrModule.io.wen(i) := true.B
312
313      vaddrModule.io.waddr(i) := stWbIndex
314      vaddrModule.io.wdata(i) := io.storeAddrIn(i).bits.vaddr
315      vaddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
316      vaddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
317      vaddrModule.io.wen(i) := true.B
318
319      debug_paddr(paddrModule.io.waddr(i)) := paddrModule.io.wdata(i)
320
321      // mmio(stWbIndex) := io.storeAddrIn(i).bits.mmio
322
323      uop(stWbIndex).ctrl := io.storeAddrIn(i).bits.uop.ctrl
324      uop(stWbIndex).debugInfo := io.storeAddrIn(i).bits.uop.debugInfo
325      XSInfo("store addr write to sq idx %d pc 0x%x miss:%d vaddr %x paddr %x mmio %x\n",
326        io.storeAddrIn(i).bits.uop.sqIdx.value,
327        io.storeAddrIn(i).bits.uop.cf.pc,
328        io.storeAddrIn(i).bits.miss,
329        io.storeAddrIn(i).bits.vaddr,
330        io.storeAddrIn(i).bits.paddr,
331        io.storeAddrIn(i).bits.mmio
332      )
333    }
334
335    // re-replinish mmio, for pma/pmp will get mmio one cycle later
336    val storeAddrInFireReg = RegNext(io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss)
337    val stWbIndexReg = RegNext(stWbIndex)
338    when (storeAddrInFireReg) {
339      pending(stWbIndexReg) := io.storeAddrInRe(i).mmio
340      mmio(stWbIndexReg) := io.storeAddrInRe(i).mmio
341      atomic(stWbIndexReg) := io.storeAddrInRe(i).atomic
342    }
343    // dcache miss info (one cycle later than storeIn)
344    // if dcache report a miss in sta pipeline, this store will trigger a prefetch when committing to sbuffer (if EnableAtCommitMissTrigger)
345    when (storeAddrInFireReg) {
346      prefetch(stWbIndexReg) := io.storeAddrInRe(i).miss
347    }
348
349    when(vaddrModule.io.wen(i)){
350      debug_vaddr(vaddrModule.io.waddr(i)) := vaddrModule.io.wdata(i)
351    }
352  }
353
354  // Write data to sq
355  // Now store data pipeline is actually 2 stages
356  for (i <- 0 until StorePipelineWidth) {
357    dataModule.io.data.wen(i) := false.B
358    val stWbIndex = io.storeDataIn(i).bits.uop.sqIdx.value
359    // sq data write takes 2 cycles:
360    // sq data write s0
361    when (io.storeDataIn(i).fire) {
362      // send data write req to data module
363      dataModule.io.data.waddr(i) := stWbIndex
364      dataModule.io.data.wdata(i) := Mux(io.storeDataIn(i).bits.uop.ctrl.fuOpType === LSUOpType.cbo_zero,
365        0.U,
366        genWdata(io.storeDataIn(i).bits.data, io.storeDataIn(i).bits.uop.ctrl.fuOpType(1,0))
367      )
368      dataModule.io.data.wen(i) := true.B
369
370      debug_data(dataModule.io.data.waddr(i)) := dataModule.io.data.wdata(i)
371
372      XSInfo("store data write to sq idx %d pc 0x%x data %x -> %x\n",
373        io.storeDataIn(i).bits.uop.sqIdx.value,
374        io.storeDataIn(i).bits.uop.cf.pc,
375        io.storeDataIn(i).bits.data,
376        dataModule.io.data.wdata(i)
377      )
378    }
379    // sq data write s1
380    when (
381      RegNext(io.storeDataIn(i).fire)
382      // && !RegNext(io.storeDataIn(i).bits.uop).robIdx.needFlush(io.brqRedirect)
383    ) {
384      datavalid(RegNext(stWbIndex)) := true.B
385    }
386  }
387
388  // Write mask to sq
389  for (i <- 0 until StorePipelineWidth) {
390    // sq mask write s0
391    when (io.storeMaskIn(i).fire) {
392      // send data write req to data module
393      dataModule.io.mask.waddr(i) := io.storeMaskIn(i).bits.sqIdx.value
394      dataModule.io.mask.wdata(i) := io.storeMaskIn(i).bits.mask
395      dataModule.io.mask.wen(i) := true.B
396    }
397  }
398
399  /**
400    * load forward query
401    *
402    * Check store queue for instructions that is older than the load.
403    * The response will be valid at the next cycle after req.
404    */
405  // check over all lq entries and forward data from the first matched store
406  for (i <- 0 until LoadPipelineWidth) {
407    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
408    // (1) if they have the same flag, we need to check range(tail, sqIdx)
409    // (2) if they have different flags, we need to check range(tail, VirtualLoadQueueSize) and range(0, sqIdx)
410    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, VirtualLoadQueueSize))
411    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
412    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
413    val differentFlag = deqPtrExt(0).flag =/= io.forward(i).sqIdx.flag
414    val forwardMask = io.forward(i).sqIdxMask
415    // all addrvalid terms need to be checked
416    val addrValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && allocated(i))))
417    val dataValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => datavalid(i))))
418    val allValidVec  = WireInit(VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i) && allocated(i))))
419
420    val storeSetHitVec =
421      if (LFSTEnable) {
422        WireInit(VecInit((0 until StoreQueueSize).map(j => io.forward(i).uop.cf.loadWaitBit && uop(j).robIdx === io.forward(i).uop.cf.waitForRobIdx)))
423      } else {
424        WireInit(VecInit((0 until StoreQueueSize).map(j => uop(j).cf.storeSetHit && uop(j).cf.ssid === io.forward(i).uop.cf.ssid)))
425      }
426
427    val forwardMask1 = Mux(differentFlag, ~deqMask, deqMask ^ forwardMask)
428    val forwardMask2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W))
429    val canForward1 = forwardMask1 & allValidVec.asUInt
430    val canForward2 = forwardMask2 & allValidVec.asUInt
431    val needForward = Mux(differentFlag, ~deqMask | forwardMask, deqMask ^ forwardMask)
432
433    XSDebug(p"$i f1 ${Binary(canForward1)} f2 ${Binary(canForward2)} " +
434      p"sqIdx ${io.forward(i).sqIdx} pa ${Hexadecimal(io.forward(i).paddr)}\n"
435    )
436
437    // do real fwd query (cam lookup in load_s1)
438    dataModule.io.needForward(i)(0) := canForward1 & vaddrModule.io.forwardMmask(i).asUInt
439    dataModule.io.needForward(i)(1) := canForward2 & vaddrModule.io.forwardMmask(i).asUInt
440
441    vaddrModule.io.forwardMdata(i) := io.forward(i).vaddr
442    vaddrModule.io.forwardDataMask(i) := io.forward(i).mask
443    paddrModule.io.forwardMdata(i) := io.forward(i).paddr
444    paddrModule.io.forwardDataMask(i) := io.forward(i).mask
445
446
447    // vaddr cam result does not equal to paddr cam result
448    // replay needed
449    // val vpmaskNotEqual = ((paddrModule.io.forwardMmask(i).asUInt ^ vaddrModule.io.forwardMmask(i).asUInt) & needForward) =/= 0.U
450    // val vaddrMatchFailed = vpmaskNotEqual && io.forward(i).valid
451    val vpmaskNotEqual = (
452      (RegNext(paddrModule.io.forwardMmask(i).asUInt) ^ RegNext(vaddrModule.io.forwardMmask(i).asUInt)) &
453      RegNext(needForward) &
454      RegNext(addrValidVec.asUInt)
455    ) =/= 0.U
456    val vaddrMatchFailed = vpmaskNotEqual && RegNext(io.forward(i).valid)
457    when (vaddrMatchFailed) {
458      XSInfo("vaddrMatchFailed: pc %x pmask %x vmask %x\n",
459        RegNext(io.forward(i).uop.cf.pc),
460        RegNext(needForward & paddrModule.io.forwardMmask(i).asUInt),
461        RegNext(needForward & vaddrModule.io.forwardMmask(i).asUInt)
462      );
463    }
464    XSPerfAccumulate("vaddr_match_failed", vpmaskNotEqual)
465    XSPerfAccumulate("vaddr_match_really_failed", vaddrMatchFailed)
466
467    // Fast forward mask will be generated immediately (load_s1)
468    io.forward(i).forwardMaskFast := dataModule.io.forwardMaskFast(i)
469
470    // Forward result will be generated 1 cycle later (load_s2)
471    io.forward(i).forwardMask := dataModule.io.forwardMask(i)
472    io.forward(i).forwardData := dataModule.io.forwardData(i)
473    // If addr match, data not ready, mark it as dataInvalid
474    // load_s1: generate dataInvalid in load_s1 to set fastUop
475    val dataInvalidMask1 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask1.asUInt)
476    val dataInvalidMask2 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask2.asUInt)
477    val dataInvalidMask = dataInvalidMask1 | dataInvalidMask2
478    io.forward(i).dataInvalidFast := dataInvalidMask.orR
479
480    // make chisel happy
481    val dataInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
482    dataInvalidMask1Reg := RegNext(dataInvalidMask1)
483    // make chisel happy
484    val dataInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
485    dataInvalidMask2Reg := RegNext(dataInvalidMask2)
486    val dataInvalidMaskReg = dataInvalidMask1Reg | dataInvalidMask2Reg
487
488    // If SSID match, address not ready, mark it as addrInvalid
489    // load_s2: generate addrInvalid
490    val addrInvalidMask1 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask1.asUInt)
491    val addrInvalidMask2 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask2.asUInt)
492    // make chisel happy
493    val addrInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
494    addrInvalidMask1Reg := RegNext(addrInvalidMask1)
495    // make chisel happy
496    val addrInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
497    addrInvalidMask2Reg := RegNext(addrInvalidMask2)
498    val addrInvalidMaskReg = addrInvalidMask1Reg | addrInvalidMask2Reg
499
500    // load_s2
501    io.forward(i).dataInvalid := RegNext(io.forward(i).dataInvalidFast)
502    // check if vaddr forward mismatched
503    io.forward(i).matchInvalid := vaddrMatchFailed
504
505    // data invalid sq index
506    // check whether false fail
507    // check flag
508    val s2_differentFlag = RegNext(differentFlag)
509    val s2_enqPtrExt = RegNext(enqPtrExt(0))
510    val s2_deqPtrExt = RegNext(deqPtrExt(0))
511
512    // addr invalid sq index
513    // make chisel happy
514    val addrInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
515    addrInvalidMaskRegWire := addrInvalidMaskReg
516    val addrInvalidFlag = addrInvalidMaskRegWire.orR
517    val hasInvalidAddr = (~addrValidVec.asUInt & needForward).orR
518
519    val addrInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask1Reg))))
520    val addrInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask2Reg))))
521    val addrInvalidSqIdx = Mux(addrInvalidMask2Reg.orR, addrInvalidSqIdx2, addrInvalidSqIdx1)
522
523    // store-set content management
524    //                +-----------------------+
525    //                | Search a SSID for the |
526    //                |    load operation     |
527    //                +-----------------------+
528    //                           |
529    //                           V
530    //                 +-------------------+
531    //                 | load wait strict? |
532    //                 +-------------------+
533    //                           |
534    //                           V
535    //               +----------------------+
536    //            Set|                      |Clean
537    //               V                      V
538    //  +------------------------+   +------------------------------+
539    //  | Waiting for all older  |   | Wait until the corresponding |
540    //  |   stores operations    |   | older store operations       |
541    //  +------------------------+   +------------------------------+
542
543
544
545    when (RegNext(io.forward(i).uop.cf.loadWaitStrict)) {
546      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx - 1.U)
547    } .elsewhen (addrInvalidFlag) {
548      io.forward(i).addrInvalidSqIdx.flag := Mux(!s2_differentFlag || addrInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
549      io.forward(i).addrInvalidSqIdx.value := addrInvalidSqIdx
550    } .otherwise {
551      // may be store inst has been written to sbuffer already.
552      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
553    }
554    io.forward(i).addrInvalid := Mux(RegNext(io.forward(i).uop.cf.loadWaitStrict), RegNext(hasInvalidAddr), addrInvalidFlag)
555
556    // data invalid sq index
557    // make chisel happy
558    val dataInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
559    dataInvalidMaskRegWire := dataInvalidMaskReg
560    val dataInvalidFlag = dataInvalidMaskRegWire.orR
561
562    val dataInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask1Reg))))
563    val dataInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask2Reg))))
564    val dataInvalidSqIdx = Mux(dataInvalidMask2Reg.orR, dataInvalidSqIdx2, dataInvalidSqIdx1)
565
566    when (dataInvalidFlag) {
567      io.forward(i).dataInvalidSqIdx.flag := Mux(!s2_differentFlag || dataInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
568      io.forward(i).dataInvalidSqIdx.value := dataInvalidSqIdx
569    } .otherwise {
570      // may be store inst has been written to sbuffer already.
571      io.forward(i).dataInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
572    }
573  }
574
575  /**
576    * Memory mapped IO / other uncached operations
577    *
578    * States:
579    * (1) writeback from store units: mark as pending
580    * (2) when they reach ROB's head, they can be sent to uncache channel
581    * (3) response from uncache channel: mark as datavalidmask.wen
582    * (4) writeback to ROB (and other units): mark as writebacked
583    * (5) ROB commits the instruction: same as normal instructions
584    */
585  //(2) when they reach ROB's head, they can be sent to uncache channel
586  val s_idle :: s_req :: s_resp :: s_wb :: s_wait :: Nil = Enum(5)
587  val uncacheState = RegInit(s_idle)
588  switch(uncacheState) {
589    is(s_idle) {
590      when(RegNext(io.rob.pendingst && pending(deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && addrvalid(deqPtr))) {
591        uncacheState := s_req
592      }
593    }
594    is(s_req) {
595      when (io.uncache.req.fire) {
596        when (io.uncacheOutstanding) {
597          uncacheState := s_wb
598        } .otherwise {
599          uncacheState := s_resp
600        }
601      }
602    }
603    is(s_resp) {
604      when(io.uncache.resp.fire) {
605        uncacheState := s_wb
606      }
607    }
608    is(s_wb) {
609      when (io.mmioStout.fire) {
610        uncacheState := s_wait
611      }
612    }
613    is(s_wait) {
614      when(commitCount > 0.U) {
615        uncacheState := s_idle // ready for next mmio
616      }
617    }
618  }
619  io.uncache.req.valid := uncacheState === s_req
620
621  io.uncache.req.bits := DontCare
622  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
623  io.uncache.req.bits.addr := paddrModule.io.rdata(0) // data(deqPtr) -> rdata(0)
624  io.uncache.req.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data)
625  io.uncache.req.bits.mask := shiftMaskToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).mask)
626
627  // CBO op type check can be delayed for 1 cycle,
628  // as uncache op will not start in s_idle
629  val cbo_mmio_addr = paddrModule.io.rdata(0) >> 2 << 2 // clear lowest 2 bits for op
630  val cbo_mmio_op = 0.U //TODO
631  val cbo_mmio_data = cbo_mmio_addr | cbo_mmio_op
632  when(RegNext(LSUOpType.isCbo(uop(deqPtr).ctrl.fuOpType))){
633    io.uncache.req.bits.addr := DontCare // TODO
634    io.uncache.req.bits.data := paddrModule.io.rdata(0)
635    io.uncache.req.bits.mask := DontCare // TODO
636  }
637
638  io.uncache.req.bits.atomic := atomic(RegNext(rdataPtrExtNext(0)).value)
639
640  when(io.uncache.req.fire){
641    // mmio store should not be committed until uncache req is sent
642    pending(deqPtr) := false.B
643
644    XSDebug(
645      p"uncache req: pc ${Hexadecimal(uop(deqPtr).cf.pc)} " +
646      p"addr ${Hexadecimal(io.uncache.req.bits.addr)} " +
647      p"data ${Hexadecimal(io.uncache.req.bits.data)} " +
648      p"op ${Hexadecimal(io.uncache.req.bits.cmd)} " +
649      p"mask ${Hexadecimal(io.uncache.req.bits.mask)}\n"
650    )
651  }
652
653  // (3) response from uncache channel: mark as datavalid
654  io.uncache.resp.ready := true.B
655
656  // (4) writeback to ROB (and other units): mark as writebacked
657  io.mmioStout.valid := uncacheState === s_wb
658  io.mmioStout.bits.uop := uop(deqPtr)
659  io.mmioStout.bits.uop.sqIdx := deqPtrExt(0)
660  io.mmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
661  io.mmioStout.bits.redirectValid := false.B
662  io.mmioStout.bits.redirect := DontCare
663  io.mmioStout.bits.debug.isMMIO := true.B
664  io.mmioStout.bits.debug.paddr := DontCare
665  io.mmioStout.bits.debug.isPerfCnt := false.B
666  io.mmioStout.bits.fflags := DontCare
667  io.mmioStout.bits.debug.vaddr := DontCare
668  // Remove MMIO inst from store queue after MMIO request is being sent
669  // That inst will be traced by uncache state machine
670  when (io.mmioStout.fire) {
671    allocated(deqPtr) := false.B
672  }
673
674  /**
675    * ROB commits store instructions (mark them as committed)
676    *
677    * (1) When store commits, mark it as committed.
678    * (2) They will not be cancelled and can be sent to lower level.
679    */
680  XSError(uncacheState =/= s_idle && uncacheState =/= s_wait && commitCount > 0.U,
681   "should not commit instruction when MMIO has not been finished\n")
682  for (i <- 0 until CommitWidth) {
683    when (commitCount > i.U) { // MMIO inst is not in progress
684      if(i == 0){
685        // MMIO inst should not update committed flag
686        // Note that commit count has been delayed for 1 cycle
687        when(uncacheState === s_idle){
688          committed(cmtPtrExt(0).value) := true.B
689        }
690      } else {
691        committed(cmtPtrExt(i).value) := true.B
692      }
693    }
694  }
695  cmtPtrExt := cmtPtrExt.map(_ + commitCount)
696
697  // committed stores will not be cancelled and can be sent to lower level.
698  // remove retired insts from sq, add retired store to sbuffer
699
700  // Read data from data module
701  // As store queue grows larger and larger, time needed to read data from data
702  // module keeps growing higher. Now we give data read a whole cycle.
703
704  val mmioStall = mmio(rdataPtrExt(0).value)
705  for (i <- 0 until EnsbufferWidth) {
706    val ptr = rdataPtrExt(i).value
707    dataBuffer.io.enq(i).valid := allocated(ptr) && committed(ptr) && !mmioStall
708    // Note that store data/addr should both be valid after store's commit
709    assert(!dataBuffer.io.enq(i).valid || allvalid(ptr))
710    dataBuffer.io.enq(i).bits.addr     := paddrModule.io.rdata(i)
711    dataBuffer.io.enq(i).bits.vaddr    := vaddrModule.io.rdata(i)
712    dataBuffer.io.enq(i).bits.data     := dataModule.io.rdata(i).data
713    dataBuffer.io.enq(i).bits.mask     := dataModule.io.rdata(i).mask
714    dataBuffer.io.enq(i).bits.wline    := paddrModule.io.rlineflag(i)
715    dataBuffer.io.enq(i).bits.sqPtr    := rdataPtrExt(i)
716    dataBuffer.io.enq(i).bits.prefetch := prefetch(ptr)
717  }
718
719  // Send data stored in sbufferReqBitsReg to sbuffer
720  for (i <- 0 until EnsbufferWidth) {
721    io.sbuffer(i).valid := dataBuffer.io.deq(i).valid
722    dataBuffer.io.deq(i).ready := io.sbuffer(i).ready
723    // Write line request should have all 1 mask
724    assert(!(io.sbuffer(i).valid && io.sbuffer(i).bits.wline && !io.sbuffer(i).bits.mask.andR))
725    io.sbuffer(i).bits := DontCare
726    io.sbuffer(i).bits.cmd   := MemoryOpConstants.M_XWR
727    io.sbuffer(i).bits.addr  := dataBuffer.io.deq(i).bits.addr
728    io.sbuffer(i).bits.vaddr := dataBuffer.io.deq(i).bits.vaddr
729    io.sbuffer(i).bits.data  := dataBuffer.io.deq(i).bits.data
730    io.sbuffer(i).bits.mask  := dataBuffer.io.deq(i).bits.mask
731    io.sbuffer(i).bits.wline := dataBuffer.io.deq(i).bits.wline
732    io.sbuffer(i).bits.prefetch := dataBuffer.io.deq(i).bits.prefetch
733
734    // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
735    // Before data write finish, sbuffer is unable to provide store to load
736    // forward data. As an workaround, deqPtrExt and allocated flag update
737    // is delayed so that load can get the right data from store queue.
738    val ptr = dataBuffer.io.deq(i).bits.sqPtr.value
739    when (RegNext(io.sbuffer(i).fire)) {
740      allocated(RegEnable(ptr, io.sbuffer(i).fire)) := false.B
741      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
742    }
743  }
744  (1 until EnsbufferWidth).foreach(i => when(io.sbuffer(i).fire) { assert(io.sbuffer(i - 1).fire) })
745  if (coreParams.dcacheParametersOpt.isEmpty) {
746    for (i <- 0 until EnsbufferWidth) {
747      val ptr = deqPtrExt(i).value
748      val ram = DifftestMem(64L * 1024 * 1024 * 1024, 8)
749      val wen = allocated(ptr) && committed(ptr) && !mmio(ptr)
750      val waddr = ((paddrModule.io.rdata(i) - "h80000000".U) >> 3).asUInt
751      val wdata = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).data(127, 64), dataModule.io.rdata(i).data(63, 0))
752      val wmask = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).mask(15, 8), dataModule.io.rdata(i).mask(7, 0))
753      when (wen) {
754        ram.write(waddr, wdata.asTypeOf(Vec(8, UInt(8.W))), wmask.asBools)
755      }
756    }
757  }
758
759  if (env.EnableDifftest) {
760    for (i <- 0 until EnsbufferWidth) {
761      val storeCommit = io.sbuffer(i).fire
762      val waddr = ZeroExt(Cat(io.sbuffer(i).bits.addr(PAddrBits - 1, 3), 0.U(3.W)), 64)
763      val sbufferMask = shiftMaskToLow(io.sbuffer(i).bits.addr, io.sbuffer(i).bits.mask)
764      val sbufferData = shiftDataToLow(io.sbuffer(i).bits.addr, io.sbuffer(i).bits.data)
765      val wmask = sbufferMask
766      val wdata = sbufferData & MaskExpand(sbufferMask)
767
768      val difftest = DifftestModule(new DiffStoreEvent, delay = 2)
769      difftest.coreid := io.hartId
770      difftest.index  := i.U
771      difftest.valid  := storeCommit
772      difftest.addr   := waddr
773      difftest.data   := wdata
774      difftest.mask   := wmask
775    }
776  }
777
778  // Read vaddr for mem exception
779  io.exceptionAddr.vaddr := vaddrModule.io.rdata(EnsbufferWidth)
780
781  // misprediction recovery / exception redirect
782  // invalidate sq term using robIdx
783  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
784  for (i <- 0 until StoreQueueSize) {
785    needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) && !committed(i)
786    when (needCancel(i)) {
787      allocated(i) := false.B
788    }
789  }
790
791 /**
792* update pointers
793**/
794  val lastEnqCancel = PopCount(RegNext(VecInit(canEnqueue.zip(enqCancel).map(x => x._1 && x._2)))) // 1 cycle after redirect
795  val lastCycleCancelCount = PopCount(RegNext(needCancel)) // 1 cycle after redirect
796  val lastCycleRedirect = RegNext(io.brqRedirect.valid) // 1 cycle after redirect
797  val enqNumber = Mux(!lastCycleRedirect&&io.enq.canAccept && io.enq.lqCanAccept, PopCount(io.enq.req.map(_.valid)), 0.U) // 1 cycle after redirect
798
799  val lastlastCycleRedirect=RegNext(lastCycleRedirect)// 2 cycle after redirect
800  val redirectCancelCount = RegEnable(lastCycleCancelCount + lastEnqCancel, lastCycleRedirect) // 2 cycle after redirect
801
802  when (lastlastCycleRedirect) {
803    // we recover the pointers in 2 cycle after redirect for better timing
804    enqPtrExt := VecInit(enqPtrExt.map(_ - redirectCancelCount))
805  }.otherwise {
806    // lastCycleRedirect.valid or nornal case
807    // when lastCycleRedirect.valid, enqNumber === 0.U, enqPtrExt will not change
808    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
809  }
810  assert(!(lastCycleRedirect && enqNumber =/= 0.U))
811
812  deqPtrExt := deqPtrExtNext
813  rdataPtrExt := rdataPtrExtNext
814
815  // val dequeueCount = Mux(io.sbuffer(1).fire, 2.U, Mux(io.sbuffer(0).fire || io.mmioStout.fire, 1.U, 0.U))
816
817  // If redirect at T0, sqCancelCnt is at T2
818  io.sqCancelCnt := redirectCancelCount
819  val ForceWriteUpper = Wire(UInt(log2Up(StoreQueueSize + 1).W))
820  ForceWriteUpper := Constantin.createRecord("ForceWriteUpper_"+p(XSCoreParamsKey).HartId.toString(), initValue = 60.U)
821  val ForceWriteLower = Wire(UInt(log2Up(StoreQueueSize + 1).W))
822  ForceWriteLower := Constantin.createRecord("ForceWriteLower_"+p(XSCoreParamsKey).HartId.toString(), initValue = 55.U)
823
824  val valid_cnt = PopCount(allocated)
825  io.force_write := RegNext(Mux(valid_cnt >= ForceWriteUpper, true.B, valid_cnt >= ForceWriteLower && io.force_write), init = false.B)
826
827  // io.sqempty will be used by sbuffer
828  // We delay it for 1 cycle for better timing
829  // When sbuffer need to check if it is empty, the pipeline is blocked, which means delay io.sqempty
830  // for 1 cycle will also promise that sq is empty in that cycle
831  io.sqEmpty := RegNext(
832    enqPtrExt(0).value === deqPtrExt(0).value &&
833    enqPtrExt(0).flag === deqPtrExt(0).flag
834  )
835  // perf counter
836  QueuePerf(StoreQueueSize, validCount, !allowEnqueue)
837  io.sqFull := !allowEnqueue
838  XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req
839  XSPerfAccumulate("mmioCnt", io.uncache.req.fire)
840  XSPerfAccumulate("mmio_wb_success", io.mmioStout.fire)
841  XSPerfAccumulate("mmio_wb_blocked", io.mmioStout.valid && !io.mmioStout.ready)
842  XSPerfAccumulate("validEntryCnt", distanceBetween(enqPtrExt(0), deqPtrExt(0)))
843  XSPerfAccumulate("cmtEntryCnt", distanceBetween(cmtPtrExt(0), deqPtrExt(0)))
844  XSPerfAccumulate("nCmtEntryCnt", distanceBetween(enqPtrExt(0), cmtPtrExt(0)))
845
846  val perfValidCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
847  val perfEvents = Seq(
848    ("mmioCycle      ", uncacheState =/= s_idle),
849    ("mmioCnt        ", io.uncache.req.fire),
850    ("mmio_wb_success", io.mmioStout.fire),
851    ("mmio_wb_blocked", io.mmioStout.valid && !io.mmioStout.ready),
852    ("stq_1_4_valid  ", (perfValidCount < (StoreQueueSize.U/4.U))),
853    ("stq_2_4_valid  ", (perfValidCount > (StoreQueueSize.U/4.U)) & (perfValidCount <= (StoreQueueSize.U/2.U))),
854    ("stq_3_4_valid  ", (perfValidCount > (StoreQueueSize.U/2.U)) & (perfValidCount <= (StoreQueueSize.U*3.U/4.U))),
855    ("stq_4_4_valid  ", (perfValidCount > (StoreQueueSize.U*3.U/4.U))),
856  )
857  generatePerfEvent()
858
859  // debug info
860  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt(0).flag, deqPtr)
861
862  def PrintFlag(flag: Bool, name: String): Unit = {
863    when(flag) {
864      XSDebug(false, true.B, name)
865    }.otherwise {
866      XSDebug(false, true.B, " ")
867    }
868  }
869
870  for (i <- 0 until StoreQueueSize) {
871    XSDebug(i + ": pc %x va %x pa %x data %x ",
872      uop(i).cf.pc,
873      debug_vaddr(i),
874      debug_paddr(i),
875      debug_data(i)
876    )
877    PrintFlag(allocated(i), "a")
878    PrintFlag(allocated(i) && addrvalid(i), "a")
879    PrintFlag(allocated(i) && datavalid(i), "d")
880    PrintFlag(allocated(i) && committed(i), "c")
881    PrintFlag(allocated(i) && pending(i), "p")
882    PrintFlag(allocated(i) && mmio(i), "m")
883    XSDebug(false, true.B, "\n")
884  }
885
886}
887