1/*************************************************************************************** 2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4* Copyright (c) 2020-2021 Peng Cheng Laboratory 5* 6* XiangShan is licensed under Mulan PSL v2. 7* You can use this software according to the terms and conditions of the Mulan PSL v2. 8* You may obtain a copy of Mulan PSL v2 at: 9* http://license.coscl.org.cn/MulanPSL2 10* 11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 14* 15* See the Mulan PSL v2 for more details. 16***************************************************************************************/ 17 18package xiangshan.mem 19 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import difftest.common.DifftestMem 24import org.chipsalliance.cde.config.Parameters 25import utility._ 26import utils._ 27import xiangshan._ 28import xiangshan.cache._ 29import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants} 30import xiangshan.backend._ 31import xiangshan.backend.rob.{RobLsqIO, RobPtr} 32import xiangshan.backend.Bundles.{DynInst, MemExuOutput} 33import xiangshan.backend.decode.isa.bitfield.{Riscv32BitInst, XSInstBitFields} 34import xiangshan.backend.fu.FuConfig._ 35import xiangshan.backend.fu.FuType 36import xiangshan.ExceptionNO._ 37import coupledL2.{CMOReq, CMOResp} 38 39class SqPtr(implicit p: Parameters) extends CircularQueuePtr[SqPtr]( 40 p => p(XSCoreParamsKey).StoreQueueSize 41){ 42} 43 44object SqPtr { 45 def apply(f: Bool, v: UInt)(implicit p: Parameters): SqPtr = { 46 val ptr = Wire(new SqPtr) 47 ptr.flag := f 48 ptr.value := v 49 ptr 50 } 51} 52 53class SqEnqIO(implicit p: Parameters) extends MemBlockBundle { 54 val canAccept = Output(Bool()) 55 val lqCanAccept = Input(Bool()) 56 val needAlloc = Vec(LSQEnqWidth, Input(Bool())) 57 val req = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst))) 58 val resp = Vec(LSQEnqWidth, Output(new SqPtr)) 59} 60 61class DataBufferEntry (implicit p: Parameters) extends DCacheBundle { 62 val addr = UInt(PAddrBits.W) 63 val vaddr = UInt(VAddrBits.W) 64 val data = UInt(VLEN.W) 65 val mask = UInt((VLEN/8).W) 66 val wline = Bool() 67 val sqPtr = new SqPtr 68 val prefetch = Bool() 69 val vecValid = Bool() 70} 71 72class StoreExceptionBuffer(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 73 val io = IO(new Bundle() { 74 val redirect = Flipped(ValidIO(new Redirect)) 75 val storeAddrIn = Vec(StorePipelineWidth * 2 + VecStorePipelineWidth, Flipped(ValidIO(new LsPipelineBundle()))) 76 val flushFrmMaBuf = Input(Bool()) 77 val exceptionAddr = new ExceptionAddrIO 78 }) 79 80 val req_valid = RegInit(false.B) 81 val req = Reg(new LsPipelineBundle()) 82 83 // enqueue 84 // S1: 85 val s1_req = VecInit(io.storeAddrIn.map(_.bits)) 86 val s1_valid = VecInit(io.storeAddrIn.map(_.valid)) 87 88 // S2: delay 1 cycle 89 val s2_req = (0 until StorePipelineWidth * 2 + VecStorePipelineWidth).map(i => 90 RegEnable(s1_req(i), s1_valid(i))) 91 val s2_valid = (0 until StorePipelineWidth * 2 + VecStorePipelineWidth).map(i => 92 RegNext(s1_valid(i)) && 93 !s2_req(i).uop.robIdx.needFlush(RegNext(io.redirect)) && 94 !s2_req(i).uop.robIdx.needFlush(io.redirect) 95 ) 96 val s2_has_exception = s2_req.map(x => ExceptionNO.selectByFu(x.uop.exceptionVec, StaCfg).asUInt.orR) 97 98 val s2_enqueue = Wire(Vec(StorePipelineWidth * 2 + VecStorePipelineWidth, Bool())) 99 for (w <- 0 until StorePipelineWidth * 2 + VecStorePipelineWidth) { 100 s2_enqueue(w) := s2_valid(w) && s2_has_exception(w) 101 } 102 103 when (req_valid && req.uop.robIdx.needFlush(io.redirect)) { 104 req_valid := s2_enqueue.asUInt.orR 105 }.elsewhen (s2_enqueue.asUInt.orR) { 106 req_valid := req_valid || true.B 107 } 108 109 def selectOldest[T <: LsPipelineBundle](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = { 110 assert(valid.length == bits.length) 111 if (valid.length == 0 || valid.length == 1) { 112 (valid, bits) 113 } else if (valid.length == 2) { 114 val res = Seq.fill(2)(Wire(Valid(chiselTypeOf(bits(0))))) 115 for (i <- res.indices) { 116 res(i).valid := valid(i) 117 res(i).bits := bits(i) 118 } 119 val oldest = Mux(valid(0) && valid(1), 120 Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx) || 121 (isNotBefore(bits(0).uop.robIdx, bits(1).uop.robIdx) && bits(0).uop.uopIdx > bits(1).uop.uopIdx), res(1), res(0)), 122 Mux(valid(0) && !valid(1), res(0), res(1))) 123 (Seq(oldest.valid), Seq(oldest.bits)) 124 } else { 125 val left = selectOldest(valid.take(valid.length / 2), bits.take(bits.length / 2)) 126 val right = selectOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2))) 127 selectOldest(left._1 ++ right._1, left._2 ++ right._2) 128 } 129 } 130 131 val reqSel = selectOldest(s2_enqueue, s2_req) 132 133 when (req_valid) { 134 req := Mux( 135 reqSel._1(0) && (isAfter(req.uop.robIdx, reqSel._2(0).uop.robIdx) || (isNotBefore(req.uop.robIdx, reqSel._2(0).uop.robIdx) && req.uop.uopIdx > reqSel._2(0).uop.uopIdx)), 136 reqSel._2(0), 137 req) 138 } .elsewhen (s2_enqueue.asUInt.orR) { 139 req := reqSel._2(0) 140 } 141 142 io.exceptionAddr.vaddr := req.vaddr 143 io.exceptionAddr.gpaddr := req.gpaddr 144 io.exceptionAddr.vstart := req.uop.vpu.vstart 145 io.exceptionAddr.vl := req.uop.vpu.vl 146 147 when(req_valid && io.flushFrmMaBuf) { 148 req_valid := false.B 149 } 150} 151 152// Store Queue 153class StoreQueue(implicit p: Parameters) extends XSModule 154 with HasDCacheParameters 155 with HasCircularQueuePtrHelper 156 with HasPerfEvents 157 with HasVLSUParameters { 158 val io = IO(new Bundle() { 159 val hartId = Input(UInt(hartIdLen.W)) 160 val enq = new SqEnqIO 161 val brqRedirect = Flipped(ValidIO(new Redirect)) 162 val vecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO))) 163 val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // store addr, data is not included 164 val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // store more mmio and exception 165 val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true)))) // store data, send to sq from rs 166 val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // store mask, send to sq from rs 167 val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) // write committed store to sbuffer 168 val sbufferVecDifftestInfo = Vec(EnsbufferWidth, Decoupled(new DynInst)) // The vector store difftest needs is, write committed store to sbuffer 169 val uncacheOutstanding = Input(Bool()) 170 val cmoOpReq = DecoupledIO(new CMOReq) 171 val cmoOpResp = Flipped(DecoupledIO(new CMOResp)) 172 val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store 173 val vecmmioStout = DecoupledIO(new MemExuOutput(isVector = true)) 174 val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 175 // TODO: scommit is only for scalar store 176 val rob = Flipped(new RobLsqIO) 177 val uncache = new UncacheWordIO 178 // val refill = Flipped(Valid(new DCacheLineReq )) 179 val exceptionAddr = new ExceptionAddrIO 180 val flushSbuffer = new SbufferFlushBundle 181 val sqEmpty = Output(Bool()) 182 val stAddrReadySqPtr = Output(new SqPtr) 183 val stAddrReadyVec = Output(Vec(StoreQueueSize, Bool())) 184 val stDataReadySqPtr = Output(new SqPtr) 185 val stDataReadyVec = Output(Vec(StoreQueueSize, Bool())) 186 val stIssuePtr = Output(new SqPtr) 187 val sqDeqPtr = Output(new SqPtr) 188 val sqFull = Output(Bool()) 189 val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W)) 190 val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 191 val force_write = Output(Bool()) 192 val maControl = Flipped(new StoreMaBufToSqControlIO) 193 }) 194 195 println("StoreQueue: size:" + StoreQueueSize) 196 197 // data modules 198 val uop = Reg(Vec(StoreQueueSize, new DynInst)) 199 // val data = Reg(Vec(StoreQueueSize, new LsqEntry)) 200 val dataModule = Module(new SQDataModule( 201 numEntries = StoreQueueSize, 202 numRead = EnsbufferWidth, 203 numWrite = StorePipelineWidth, 204 numForward = LoadPipelineWidth 205 )) 206 dataModule.io := DontCare 207 val paddrModule = Module(new SQAddrModule( 208 dataWidth = PAddrBits, 209 numEntries = StoreQueueSize, 210 numRead = EnsbufferWidth, 211 numWrite = StorePipelineWidth, 212 numForward = LoadPipelineWidth 213 )) 214 paddrModule.io := DontCare 215 val vaddrModule = Module(new SQAddrModule( 216 dataWidth = VAddrBits, 217 numEntries = StoreQueueSize, 218 numRead = EnsbufferWidth, // sbuffer; badvaddr will be sent from exceptionBuffer 219 numWrite = StorePipelineWidth, 220 numForward = LoadPipelineWidth 221 )) 222 vaddrModule.io := DontCare 223 val dataBuffer = Module(new DatamoduleResultBuffer(new DataBufferEntry)) 224 val difftestBuffer = if (env.EnableDifftest) Some(Module(new DatamoduleResultBuffer(new DynInst))) else None 225 val exceptionBuffer = Module(new StoreExceptionBuffer) 226 exceptionBuffer.io.redirect := io.brqRedirect 227 exceptionBuffer.io.exceptionAddr.isStore := DontCare 228 // vlsu exception! 229 for (i <- 0 until VecStorePipelineWidth) { 230 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).valid := io.vecFeedback(i).valid && io.vecFeedback(i).bits.feedback(VecFeedbacks.FLUSH) // have exception 231 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits := DontCare 232 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.vaddr := io.vecFeedback(i).bits.vaddr 233 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.uopIdx := io.vecFeedback(i).bits.uopidx 234 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.robIdx := io.vecFeedback(i).bits.robidx 235 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.vpu.vstart := io.vecFeedback(i).bits.vstart 236 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.vpu.vl := io.vecFeedback(i).bits.vl 237 exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.exceptionVec := io.vecFeedback(i).bits.exceptionVec 238 } 239 240 241 val debug_paddr = Reg(Vec(StoreQueueSize, UInt((PAddrBits).W))) 242 val debug_vaddr = Reg(Vec(StoreQueueSize, UInt((VAddrBits).W))) 243 val debug_data = Reg(Vec(StoreQueueSize, UInt((XLEN).W))) 244 245 // state & misc 246 val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated 247 val addrvalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio addr is valid 248 val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid 249 val allvalid = VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i))) // non-mmio data & addr is valid 250 val committed = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // inst has been committed by rob 251 val unaligned = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // unaligned store 252 val pending = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob 253 val mmio = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // mmio: inst is an mmio inst 254 val atomic = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) 255 val prefetch = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // need prefetch when committing this store to sbuffer? 256 val isVec = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store instruction 257 //val vec_lastuop = Reg(Vec(StoreQueueSize, Bool())) // last uop of vector store instruction 258 val vecMbCommit = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store committed from merge buffer to rob 259 val vecDataValid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store need write to sbuffer 260 val hasException = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // store has exception, should deq but not write sbuffer 261 val waitStoreS2 = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // wait for mmio and exception result until store_s2 262 // val vec_robCommit = Reg(Vec(StoreQueueSize, Bool())) // vector store committed by rob 263 // val vec_secondInv = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // Vector unit-stride, second entry is invalid 264 val vecExceptionFlag = RegInit(0.U.asTypeOf(Valid(new DynInst))) 265 266 // ptr 267 val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new SqPtr)))) 268 val rdataPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr)))) 269 val deqPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr)))) 270 val cmtPtrExt = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new SqPtr)))) 271 val addrReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr)) 272 val dataReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr)) 273 274 val enqPtr = enqPtrExt(0).value 275 val deqPtr = deqPtrExt(0).value 276 val cmtPtr = cmtPtrExt(0).value 277 278 val validCount = distanceBetween(enqPtrExt(0), deqPtrExt(0)) 279 val allowEnqueue = validCount <= (StoreQueueSize - LSQStEnqWidth).U 280 281 val deqMask = UIntToMask(deqPtr, StoreQueueSize) 282 val enqMask = UIntToMask(enqPtr, StoreQueueSize) 283 284 val commitCount = WireInit(0.U(log2Ceil(CommitWidth + 1).W)) 285 val scommit = GatedRegNext(io.rob.scommit) 286 287 // RegNext misalign control for better timing 288 val doMisalignSt = GatedValidRegNext((rdataPtrExt(0).value === deqPtr) && (cmtPtr === deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && unaligned(deqPtr) && !isVec(deqPtr)) 289 val finishMisalignSt = GatedValidRegNext(doMisalignSt && io.maControl.control.removeSq && !io.maControl.control.hasException) 290 val misalignBlock = doMisalignSt && !finishMisalignSt 291 292 // store miss align info 293 io.maControl.storeInfo.data := dataModule.io.rdata(0).data 294 io.maControl.storeInfo.dataReady := doMisalignSt 295 io.maControl.storeInfo.completeSbTrans := doMisalignSt && dataBuffer.io.enq(0).fire 296 297 // store can be committed by ROB 298 io.rob.mmio := DontCare 299 io.rob.uop := DontCare 300 301 // Read dataModule 302 assert(EnsbufferWidth <= 2) 303 // rdataPtrExtNext and rdataPtrExtNext+1 entry will be read from dataModule 304 val rdataPtrExtNext = Wire(Vec(EnsbufferWidth, new SqPtr)) 305 rdataPtrExtNext := WireInit(Mux(dataBuffer.io.enq(1).fire, 306 VecInit(rdataPtrExt.map(_ + 2.U)), 307 Mux(dataBuffer.io.enq(0).fire || io.mmioStout.fire || io.vecmmioStout.fire, 308 VecInit(rdataPtrExt.map(_ + 1.U)), 309 rdataPtrExt 310 ) 311 )) 312 313 // deqPtrExtNext traces which inst is about to leave store queue 314 // 315 // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles. 316 // Before data write finish, sbuffer is unable to provide store to load 317 // forward data. As an workaround, deqPtrExt and allocated flag update 318 // is delayed so that load can get the right data from store queue. 319 // 320 // Modify deqPtrExtNext and io.sqDeq with care! 321 val deqPtrExtNext = Wire(Vec(EnsbufferWidth, new SqPtr)) 322 deqPtrExtNext := Mux(RegNext(io.sbuffer(1).fire), 323 VecInit(deqPtrExt.map(_ + 2.U)), 324 Mux((RegNext(io.sbuffer(0).fire)) || io.mmioStout.fire || io.vecmmioStout.fire, 325 VecInit(deqPtrExt.map(_ + 1.U)), 326 deqPtrExt 327 ) 328 ) 329 330 io.sqDeq := RegNext(Mux(RegNext(io.sbuffer(1).fire && !misalignBlock), 2.U, 331 Mux((RegNext(io.sbuffer(0).fire && !misalignBlock)) || io.mmioStout.fire || io.vecmmioStout.fire || finishMisalignSt, 1.U, 0.U) 332 )) 333 assert(!RegNext(RegNext(io.sbuffer(0).fire) && (io.mmioStout.fire || io.vecmmioStout.fire))) 334 335 for (i <- 0 until EnsbufferWidth) { 336 dataModule.io.raddr(i) := rdataPtrExtNext(i).value 337 paddrModule.io.raddr(i) := rdataPtrExtNext(i).value 338 vaddrModule.io.raddr(i) := rdataPtrExtNext(i).value 339 } 340 341 /** 342 * Enqueue at dispatch 343 * 344 * Currently, StoreQueue only allows enqueue when #emptyEntries > EnqWidth 345 */ 346 io.enq.canAccept := allowEnqueue 347 val canEnqueue = io.enq.req.map(_.valid) 348 val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect)) 349 val vStoreFlow = io.enq.req.map(_.bits.numLsElem) 350 val validVStoreFlow = vStoreFlow.zipWithIndex.map{case (vLoadFlowNumItem, index) => Mux(!RegNext(io.brqRedirect.valid) && io.enq.canAccept && io.enq.lqCanAccept && canEnqueue(index), vLoadFlowNumItem, 0.U)} 351 val validVStoreOffset = vStoreFlow.zip(io.enq.needAlloc).map{case (flow, needAllocItem) => Mux(needAllocItem, flow, 0.U)} 352 val validVStoreOffsetRShift = 0.U +: validVStoreOffset.take(vStoreFlow.length - 1) 353 354 for (i <- 0 until io.enq.req.length) { 355 val sqIdx = enqPtrExt(0) + validVStoreOffsetRShift.take(i + 1).reduce(_ + _) 356 val index = io.enq.req(i).bits.sqIdx 357 val enqInstr = io.enq.req(i).bits.instr.asTypeOf(new XSInstBitFields) 358 when (canEnqueue(i) && !enqCancel(i)) { 359 // The maximum 'numLsElem' number that can be emitted per dispatch port is: 360 // 16 2 2 2 2 2. 361 // Therefore, VecMemLSQEnqIteratorNumberSeq = Seq(16, 2, 2, 2, 2, 2) 362 for (j <- 0 until VecMemLSQEnqIteratorNumberSeq(i)) { 363 when (j.U < validVStoreOffset(i)) { 364 uop((index + j.U).value) := io.enq.req(i).bits 365 // NOTE: the index will be used when replay 366 uop((index + j.U).value).sqIdx := sqIdx + j.U 367 allocated((index + j.U).value) := true.B 368 datavalid((index + j.U).value) := false.B 369 addrvalid((index + j.U).value) := false.B 370 unaligned((index + j.U).value) := false.B 371 committed((index + j.U).value) := false.B 372 pending((index + j.U).value) := false.B 373 prefetch((index + j.U).value) := false.B 374 mmio((index + j.U).value) := false.B 375 isVec((index + j.U).value) := enqInstr.isVecStore // check vector store by the encoding of inst 376 vecMbCommit((index + j.U).value) := false.B 377 vecDataValid((index + j.U).value) := false.B 378 hasException((index + j.U).value) := false.B 379 waitStoreS2((index + j.U).value) := true.B 380 XSError(!io.enq.canAccept || !io.enq.lqCanAccept, s"must accept $i\n") 381 XSError(index.value =/= sqIdx.value, s"must be the same entry $i\n") 382 } 383 } 384 } 385 io.enq.resp(i) := sqIdx 386 } 387 XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n") 388 389 /** 390 * Update addr/dataReadyPtr when issue from rs 391 */ 392 // update issuePtr 393 val IssuePtrMoveStride = 4 394 require(IssuePtrMoveStride >= 2) 395 396 val addrReadyLookupVec = (0 until IssuePtrMoveStride).map(addrReadyPtrExt + _.U) 397 val addrReadyLookup = addrReadyLookupVec.map(ptr => allocated(ptr.value) && 398 (mmio(ptr.value) || addrvalid(ptr.value) || vecMbCommit(ptr.value)) 399 && ptr =/= enqPtrExt(0)) 400 val nextAddrReadyPtr = addrReadyPtrExt + PriorityEncoder(VecInit(addrReadyLookup.map(!_) :+ true.B)) 401 addrReadyPtrExt := nextAddrReadyPtr 402 403 val stAddrReadyVecReg = Wire(Vec(StoreQueueSize, Bool())) 404 (0 until StoreQueueSize).map(i => { 405 stAddrReadyVecReg(i) := allocated(i) && (mmio(i) || addrvalid(i) || (isVec(i) && vecMbCommit(i))) 406 }) 407 io.stAddrReadyVec := GatedValidRegNext(stAddrReadyVecReg) 408 409 when (io.brqRedirect.valid) { 410 addrReadyPtrExt := Mux( 411 isAfter(cmtPtrExt(0), deqPtrExt(0)), 412 cmtPtrExt(0), 413 deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr 414 ) 415 } 416 417 io.stAddrReadySqPtr := addrReadyPtrExt 418 419 // update 420 val dataReadyLookupVec = (0 until IssuePtrMoveStride).map(dataReadyPtrExt + _.U) 421 val dataReadyLookup = dataReadyLookupVec.map(ptr => allocated(ptr.value) && 422 (mmio(ptr.value) || datavalid(ptr.value) || vecMbCommit(ptr.value)) 423 && ptr =/= enqPtrExt(0)) 424 val nextDataReadyPtr = dataReadyPtrExt + PriorityEncoder(VecInit(dataReadyLookup.map(!_) :+ true.B)) 425 dataReadyPtrExt := nextDataReadyPtr 426 427 val stDataReadyVecReg = Wire(Vec(StoreQueueSize, Bool())) 428 (0 until StoreQueueSize).map(i => { 429 stDataReadyVecReg(i) := allocated(i) && (mmio(i) || datavalid(i) || (isVec(i) && vecMbCommit(i))) 430 }) 431 io.stDataReadyVec := GatedValidRegNext(stDataReadyVecReg) 432 433 when (io.brqRedirect.valid) { 434 dataReadyPtrExt := Mux( 435 isAfter(cmtPtrExt(0), deqPtrExt(0)), 436 cmtPtrExt(0), 437 deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr 438 ) 439 } 440 441 io.stDataReadySqPtr := dataReadyPtrExt 442 io.stIssuePtr := enqPtrExt(0) 443 io.sqDeqPtr := deqPtrExt(0) 444 445 /** 446 * Writeback store from store units 447 * 448 * Most store instructions writeback to regfile in the previous cycle. 449 * However, 450 * (1) For an mmio instruction with exceptions, we need to mark it as addrvalid 451 * (in this way it will trigger an exception when it reaches ROB's head) 452 * instead of pending to avoid sending them to lower level. 453 * (2) For an mmio instruction without exceptions, we mark it as pending. 454 * When the instruction reaches ROB's head, StoreQueue sends it to uncache channel. 455 * Upon receiving the response, StoreQueue writes back the instruction 456 * through arbiter with store units. It will later commit as normal. 457 */ 458 459 // Write addr to sq 460 for (i <- 0 until StorePipelineWidth) { 461 paddrModule.io.wen(i) := false.B 462 vaddrModule.io.wen(i) := false.B 463 dataModule.io.mask.wen(i) := false.B 464 val stWbIndex = io.storeAddrIn(i).bits.uop.sqIdx.value 465 exceptionBuffer.io.storeAddrIn(i).valid := io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss && !io.storeAddrIn(i).bits.isvec 466 exceptionBuffer.io.storeAddrIn(i).bits := io.storeAddrIn(i).bits 467 // will re-enter exceptionbuffer at store_s2 468 exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).valid := false.B 469 exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits := 0.U.asTypeOf(new LsPipelineBundle) 470 471 when (io.storeAddrIn(i).fire) { 472 val addr_valid = !io.storeAddrIn(i).bits.miss 473 addrvalid(stWbIndex) := addr_valid //!io.storeAddrIn(i).bits.mmio 474 // pending(stWbIndex) := io.storeAddrIn(i).bits.mmio 475 unaligned(stWbIndex) := io.storeAddrIn(i).bits.uop.exceptionVec(storeAddrMisaligned) 476 477 paddrModule.io.waddr(i) := stWbIndex 478 paddrModule.io.wdata(i) := io.storeAddrIn(i).bits.paddr 479 paddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask 480 paddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag 481 paddrModule.io.wen(i) := true.B 482 483 vaddrModule.io.waddr(i) := stWbIndex 484 vaddrModule.io.wdata(i) := io.storeAddrIn(i).bits.vaddr 485 vaddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask 486 vaddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag 487 vaddrModule.io.wen(i) := true.B 488 489 debug_paddr(paddrModule.io.waddr(i)) := paddrModule.io.wdata(i) 490 491 // mmio(stWbIndex) := io.storeAddrIn(i).bits.mmio 492 493 uop(stWbIndex) := io.storeAddrIn(i).bits.uop 494 uop(stWbIndex).debugInfo := io.storeAddrIn(i).bits.uop.debugInfo 495 496 vecDataValid(stWbIndex) := io.storeAddrIn(i).bits.isvec 497 498 XSInfo("store addr write to sq idx %d pc 0x%x miss:%d vaddr %x paddr %x mmio %x isvec %x\n", 499 io.storeAddrIn(i).bits.uop.sqIdx.value, 500 io.storeAddrIn(i).bits.uop.pc, 501 io.storeAddrIn(i).bits.miss, 502 io.storeAddrIn(i).bits.vaddr, 503 io.storeAddrIn(i).bits.paddr, 504 io.storeAddrIn(i).bits.mmio, 505 io.storeAddrIn(i).bits.isvec 506 ) 507 } 508 509 // re-replinish mmio, for pma/pmp will get mmio one cycle later 510 val storeAddrInFireReg = RegNext(io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss) 511 //val stWbIndexReg = RegNext(stWbIndex) 512 val stWbIndexReg = RegEnable(stWbIndex, io.storeAddrIn(i).fire) 513 when (storeAddrInFireReg) { 514 pending(stWbIndexReg) := io.storeAddrInRe(i).mmio 515 mmio(stWbIndexReg) := io.storeAddrInRe(i).mmio 516 atomic(stWbIndexReg) := io.storeAddrInRe(i).atomic 517 hasException(stWbIndexReg) := ExceptionNO.selectByFu(uop(stWbIndexReg).exceptionVec, StaCfg).asUInt.orR || io.storeAddrInRe(i).af 518 waitStoreS2(stWbIndexReg) := false.B 519 } 520 // dcache miss info (one cycle later than storeIn) 521 // if dcache report a miss in sta pipeline, this store will trigger a prefetch when committing to sbuffer (if EnableAtCommitMissTrigger) 522 when (storeAddrInFireReg) { 523 prefetch(stWbIndexReg) := io.storeAddrInRe(i).miss 524 } 525 // enter exceptionbuffer again 526 when (storeAddrInFireReg) { 527 exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).valid := io.storeAddrInRe(i).af 528 exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits := RegEnable(io.storeAddrIn(i).bits, io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss) 529 exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits.uop.exceptionVec(storeAccessFault) := io.storeAddrInRe(i).af 530 } 531 532 when(vaddrModule.io.wen(i)){ 533 debug_vaddr(vaddrModule.io.waddr(i)) := vaddrModule.io.wdata(i) 534 } 535 } 536 537 // Write data to sq 538 // Now store data pipeline is actually 2 stages 539 for (i <- 0 until StorePipelineWidth) { 540 dataModule.io.data.wen(i) := false.B 541 val stWbIndex = io.storeDataIn(i).bits.uop.sqIdx.value 542 val isVec = FuType.isVStore(io.storeDataIn(i).bits.uop.fuType) 543 // sq data write takes 2 cycles: 544 // sq data write s0 545 when (io.storeDataIn(i).fire) { 546 // send data write req to data module 547 dataModule.io.data.waddr(i) := stWbIndex 548 dataModule.io.data.wdata(i) := Mux(io.storeDataIn(i).bits.uop.fuOpType === LSUOpType.cbo_zero, 549 0.U, 550 Mux(isVec, 551 io.storeDataIn(i).bits.data, 552 genVWdata(io.storeDataIn(i).bits.data, io.storeDataIn(i).bits.uop.fuOpType(2,0))) 553 ) 554 dataModule.io.data.wen(i) := true.B 555 556 debug_data(dataModule.io.data.waddr(i)) := dataModule.io.data.wdata(i) 557 558 XSInfo("store data write to sq idx %d pc 0x%x data %x -> %x\n", 559 io.storeDataIn(i).bits.uop.sqIdx.value, 560 io.storeDataIn(i).bits.uop.pc, 561 io.storeDataIn(i).bits.data, 562 dataModule.io.data.wdata(i) 563 ) 564 } 565 // sq data write s1 566 when ( 567 RegNext(io.storeDataIn(i).fire) 568 // && !RegNext(io.storeDataIn(i).bits.uop).robIdx.needFlush(io.brqRedirect) 569 ) { 570 datavalid(RegEnable(stWbIndex, io.storeDataIn(i).fire)) := true.B 571 } 572 } 573 574 // Write mask to sq 575 for (i <- 0 until StorePipelineWidth) { 576 // sq mask write s0 577 when (io.storeMaskIn(i).fire) { 578 // send data write req to data module 579 dataModule.io.mask.waddr(i) := io.storeMaskIn(i).bits.sqIdx.value 580 dataModule.io.mask.wdata(i) := io.storeMaskIn(i).bits.mask 581 dataModule.io.mask.wen(i) := true.B 582 } 583 } 584 585 /** 586 * load forward query 587 * 588 * Check store queue for instructions that is older than the load. 589 * The response will be valid at the next cycle after req. 590 */ 591 // check over all lq entries and forward data from the first matched store 592 for (i <- 0 until LoadPipelineWidth) { 593 // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases: 594 // (1) if they have the same flag, we need to check range(tail, sqIdx) 595 // (2) if they have different flags, we need to check range(tail, VirtualLoadQueueSize) and range(0, sqIdx) 596 // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, VirtualLoadQueueSize)) 597 // Forward2: Mux(same_flag, 0.U, range(0, sqIdx) ) 598 // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise 599 val differentFlag = deqPtrExt(0).flag =/= io.forward(i).sqIdx.flag 600 val forwardMask = io.forward(i).sqIdxMask 601 // all addrvalid terms need to be checked 602 // Real Vaild: all scalar stores, and vector store with (!inactive && !secondInvalid) 603 val addrRealValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && allocated(j)))) 604 // vector store will consider all inactive || secondInvalid flows as valid 605 val addrValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && allocated(j)))) 606 val dataValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => datavalid(j)))) 607 val allValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && datavalid(j) && allocated(j)))) 608 609 val lfstEnable = Constantin.createRecord("LFSTEnable", LFSTEnable) 610 val storeSetHitVec = Mux(lfstEnable, 611 WireInit(VecInit((0 until StoreQueueSize).map(j => io.forward(i).uop.loadWaitBit && uop(j).robIdx === io.forward(i).uop.waitForRobIdx))), 612 WireInit(VecInit((0 until StoreQueueSize).map(j => uop(j).storeSetHit && uop(j).ssid === io.forward(i).uop.ssid))) 613 ) 614 615 val forwardMask1 = Mux(differentFlag, ~deqMask, deqMask ^ forwardMask) 616 val forwardMask2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W)) 617 val canForward1 = forwardMask1 & allValidVec.asUInt 618 val canForward2 = forwardMask2 & allValidVec.asUInt 619 val needForward = Mux(differentFlag, ~deqMask | forwardMask, deqMask ^ forwardMask) 620 621 XSDebug(p"$i f1 ${Binary(canForward1)} f2 ${Binary(canForward2)} " + 622 p"sqIdx ${io.forward(i).sqIdx} pa ${Hexadecimal(io.forward(i).paddr)}\n" 623 ) 624 625 // do real fwd query (cam lookup in load_s1) 626 dataModule.io.needForward(i)(0) := canForward1 & vaddrModule.io.forwardMmask(i).asUInt 627 dataModule.io.needForward(i)(1) := canForward2 & vaddrModule.io.forwardMmask(i).asUInt 628 629 vaddrModule.io.forwardMdata(i) := io.forward(i).vaddr 630 vaddrModule.io.forwardDataMask(i) := io.forward(i).mask 631 paddrModule.io.forwardMdata(i) := io.forward(i).paddr 632 paddrModule.io.forwardDataMask(i) := io.forward(i).mask 633 634 // vaddr cam result does not equal to paddr cam result 635 // replay needed 636 // val vpmaskNotEqual = ((paddrModule.io.forwardMmask(i).asUInt ^ vaddrModule.io.forwardMmask(i).asUInt) & needForward) =/= 0.U 637 // val vaddrMatchFailed = vpmaskNotEqual && io.forward(i).valid 638 val vpmaskNotEqual = ( 639 (RegEnable(paddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid) ^ RegEnable(vaddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid)) & 640 RegNext(needForward) & 641 GatedRegNext(addrRealValidVec.asUInt) 642 ) =/= 0.U 643 val vaddrMatchFailed = vpmaskNotEqual && RegNext(io.forward(i).valid) 644 when (vaddrMatchFailed) { 645 XSInfo("vaddrMatchFailed: pc %x pmask %x vmask %x\n", 646 RegEnable(io.forward(i).uop.pc, io.forward(i).valid), 647 RegEnable(needForward & paddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid), 648 RegEnable(needForward & vaddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid) 649 ); 650 } 651 XSPerfAccumulate("vaddr_match_failed", vpmaskNotEqual) 652 XSPerfAccumulate("vaddr_match_really_failed", vaddrMatchFailed) 653 654 // Fast forward mask will be generated immediately (load_s1) 655 io.forward(i).forwardMaskFast := dataModule.io.forwardMaskFast(i) 656 657 // Forward result will be generated 1 cycle later (load_s2) 658 io.forward(i).forwardMask := dataModule.io.forwardMask(i) 659 io.forward(i).forwardData := dataModule.io.forwardData(i) 660 // If addr match, data not ready, mark it as dataInvalid 661 // load_s1: generate dataInvalid in load_s1 to set fastUop 662 val dataInvalidMask1 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask1.asUInt) 663 val dataInvalidMask2 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask2.asUInt) 664 val dataInvalidMask = dataInvalidMask1 | dataInvalidMask2 665 io.forward(i).dataInvalidFast := dataInvalidMask.orR 666 667 // make chisel happy 668 val dataInvalidMask1Reg = Wire(UInt(StoreQueueSize.W)) 669 dataInvalidMask1Reg := RegNext(dataInvalidMask1) 670 // make chisel happy 671 val dataInvalidMask2Reg = Wire(UInt(StoreQueueSize.W)) 672 dataInvalidMask2Reg := RegNext(dataInvalidMask2) 673 val dataInvalidMaskReg = dataInvalidMask1Reg | dataInvalidMask2Reg 674 675 // If SSID match, address not ready, mark it as addrInvalid 676 // load_s2: generate addrInvalid 677 val addrInvalidMask1 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask1.asUInt) 678 val addrInvalidMask2 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask2.asUInt) 679 // make chisel happy 680 val addrInvalidMask1Reg = Wire(UInt(StoreQueueSize.W)) 681 addrInvalidMask1Reg := RegNext(addrInvalidMask1) 682 // make chisel happy 683 val addrInvalidMask2Reg = Wire(UInt(StoreQueueSize.W)) 684 addrInvalidMask2Reg := RegNext(addrInvalidMask2) 685 val addrInvalidMaskReg = addrInvalidMask1Reg | addrInvalidMask2Reg 686 687 // load_s2 688 io.forward(i).dataInvalid := RegNext(io.forward(i).dataInvalidFast) 689 // check if vaddr forward mismatched 690 io.forward(i).matchInvalid := vaddrMatchFailed 691 692 // data invalid sq index 693 // check whether false fail 694 // check flag 695 val s2_differentFlag = RegNext(differentFlag) 696 val s2_enqPtrExt = RegNext(enqPtrExt(0)) 697 val s2_deqPtrExt = RegNext(deqPtrExt(0)) 698 699 // addr invalid sq index 700 // make chisel happy 701 val addrInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W)) 702 addrInvalidMaskRegWire := addrInvalidMaskReg 703 val addrInvalidFlag = addrInvalidMaskRegWire.orR 704 val hasInvalidAddr = (~addrValidVec.asUInt & needForward).orR 705 706 val addrInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask1Reg)))) 707 val addrInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask2Reg)))) 708 val addrInvalidSqIdx = Mux(addrInvalidMask2Reg.orR, addrInvalidSqIdx2, addrInvalidSqIdx1) 709 710 // store-set content management 711 // +-----------------------+ 712 // | Search a SSID for the | 713 // | load operation | 714 // +-----------------------+ 715 // | 716 // V 717 // +-------------------+ 718 // | load wait strict? | 719 // +-------------------+ 720 // | 721 // V 722 // +----------------------+ 723 // Set| |Clean 724 // V V 725 // +------------------------+ +------------------------------+ 726 // | Waiting for all older | | Wait until the corresponding | 727 // | stores operations | | older store operations | 728 // +------------------------+ +------------------------------+ 729 730 731 732 when (RegEnable(io.forward(i).uop.loadWaitStrict, io.forward(i).valid)) { 733 io.forward(i).addrInvalidSqIdx := RegEnable((io.forward(i).uop.sqIdx - 1.U), io.forward(i).valid) 734 } .elsewhen (addrInvalidFlag) { 735 io.forward(i).addrInvalidSqIdx.flag := Mux(!s2_differentFlag || addrInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag) 736 io.forward(i).addrInvalidSqIdx.value := addrInvalidSqIdx 737 } .otherwise { 738 // may be store inst has been written to sbuffer already. 739 io.forward(i).addrInvalidSqIdx := RegEnable(io.forward(i).uop.sqIdx, io.forward(i).valid) 740 } 741 io.forward(i).addrInvalid := Mux(RegEnable(io.forward(i).uop.loadWaitStrict, io.forward(i).valid), RegNext(hasInvalidAddr), addrInvalidFlag) 742 743 // data invalid sq index 744 // make chisel happy 745 val dataInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W)) 746 dataInvalidMaskRegWire := dataInvalidMaskReg 747 val dataInvalidFlag = dataInvalidMaskRegWire.orR 748 749 val dataInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask1Reg)))) 750 val dataInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask2Reg)))) 751 val dataInvalidSqIdx = Mux(dataInvalidMask2Reg.orR, dataInvalidSqIdx2, dataInvalidSqIdx1) 752 753 when (dataInvalidFlag) { 754 io.forward(i).dataInvalidSqIdx.flag := Mux(!s2_differentFlag || dataInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag) 755 io.forward(i).dataInvalidSqIdx.value := dataInvalidSqIdx 756 } .otherwise { 757 // may be store inst has been written to sbuffer already. 758 io.forward(i).dataInvalidSqIdx := RegEnable(io.forward(i).uop.sqIdx, io.forward(i).valid) 759 } 760 } 761 762 /** 763 * Memory mapped IO / other uncached operations / CMO 764 * 765 * States: 766 * (1) writeback from store units: mark as pending 767 * (2) when they reach ROB's head, they can be sent to uncache channel 768 * (3) response from uncache channel: mark as datavalidmask.wen 769 * (4) writeback to ROB (and other units): mark as writebacked 770 * (5) ROB commits the instruction: same as normal instructions 771 */ 772 //(2) when they reach ROB's head, they can be sent to uncache channel 773 // TODO: CAN NOT deal with vector mmio now! 774 val s_idle :: s_req :: s_resp :: s_wb :: s_wait :: Nil = Enum(5) 775 val uncacheState = RegInit(s_idle) 776 val uncacheUop = Reg(new DynInst) 777 val cboFlushedSb = RegInit(false.B) 778 switch(uncacheState) { 779 is(s_idle) { 780 when(RegNext(io.rob.pendingst && uop(deqPtr).robIdx === io.rob.pendingPtr && pending(deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && addrvalid(deqPtr))) { 781 uncacheState := s_req 782 uncacheUop := uop(deqPtr) 783 cboFlushedSb := false.B 784 } 785 } 786 is(s_req) { 787 when (io.uncache.req.fire) { 788 when (io.uncacheOutstanding) { 789 uncacheState := s_wb 790 } .otherwise { 791 uncacheState := s_resp 792 } 793 } 794 } 795 is(s_resp) { 796 when(io.uncache.resp.fire) { 797 uncacheState := s_wb 798 799 when (io.uncache.resp.bits.nderr) { 800 uop(deqPtr).exceptionVec(storeAccessFault) := true.B 801 } 802 } 803 } 804 is(s_wb) { 805 when (io.mmioStout.fire || io.vecmmioStout.fire) { 806 uncacheState := s_wait 807 } 808 } 809 is(s_wait) { 810 // A MMIO store can always move cmtPtrExt as it must be ROB head 811 when(scommit > 0.U) { 812 uncacheState := s_idle // ready for next mmio 813 } 814 } 815 } 816 io.uncache.req.valid := uncacheState === s_req 817 818 io.uncache.req.bits := DontCare 819 io.uncache.req.bits.cmd := MemoryOpConstants.M_XWR 820 io.uncache.req.bits.addr := paddrModule.io.rdata(0) // data(deqPtr) -> rdata(0) 821 io.uncache.req.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) 822 io.uncache.req.bits.mask := shiftMaskToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).mask) 823 824 // CBO op type check can be delayed for 1 cycle, 825 // as uncache op will not start in s_idle 826 val cboMmioAddr = get_block_addr(paddrModule.io.rdata(0)) 827 val deqCanDoCbo = GatedRegNext(LSUOpType.isCbo(uop(deqPtr).fuOpType) && allocated(deqPtr) && addrvalid(deqPtr)) 828 when (deqCanDoCbo) { 829 // disable uncache channel 830 io.uncache.req.valid := false.B 831 832 when (io.cmoOpReq.fire) { 833 uncacheState := s_resp 834 } 835 836 when (uncacheState === s_resp) { 837 when (io.cmoOpResp.fire) { 838 uncacheState := s_wb 839 } 840 } 841 } 842 843 io.cmoOpReq.valid := deqCanDoCbo && cboFlushedSb && (uncacheState === s_req) 844 io.cmoOpReq.bits.opcode := uop(deqPtr).fuOpType(1, 0) 845 io.cmoOpReq.bits.address := cboMmioAddr 846 847 io.cmoOpResp.ready := deqCanDoCbo && (uncacheState === s_resp) 848 849 io.flushSbuffer.valid := deqCanDoCbo && !cboFlushedSb && (uncacheState === s_req) && !io.flushSbuffer.empty 850 851 when(deqCanDoCbo && !cboFlushedSb && (uncacheState === s_req) && io.flushSbuffer.empty) { 852 cboFlushedSb := true.B 853 } 854 855 io.uncache.req.bits.atomic := atomic(GatedRegNext(rdataPtrExtNext(0)).value) 856 857 when(io.uncache.req.fire){ 858 // mmio store should not be committed until uncache req is sent 859 pending(deqPtr) := false.B 860 861 XSDebug( 862 p"uncache req: pc ${Hexadecimal(uop(deqPtr).pc)} " + 863 p"addr ${Hexadecimal(io.uncache.req.bits.addr)} " + 864 p"data ${Hexadecimal(io.uncache.req.bits.data)} " + 865 p"op ${Hexadecimal(io.uncache.req.bits.cmd)} " + 866 p"mask ${Hexadecimal(io.uncache.req.bits.mask)}\n" 867 ) 868 } 869 870 // (3) response from uncache channel: mark as datavalid 871 io.uncache.resp.ready := true.B 872 873 // (4) scalar store: writeback to ROB (and other units): mark as writebacked 874 io.mmioStout.valid := uncacheState === s_wb && !isVec(deqPtr) 875 io.mmioStout.bits.uop := uncacheUop 876 io.mmioStout.bits.uop.sqIdx := deqPtrExt(0) 877 io.mmioStout.bits.uop.flushPipe := deqCanDoCbo // flush Pipeline to keep order in CMO 878 io.mmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr) 879 io.mmioStout.bits.debug.isMMIO := true.B 880 io.mmioStout.bits.debug.paddr := DontCare 881 io.mmioStout.bits.debug.isPerfCnt := false.B 882 io.mmioStout.bits.debug.vaddr := DontCare 883 // Remove MMIO inst from store queue after MMIO request is being sent 884 // That inst will be traced by uncache state machine 885 when (io.mmioStout.fire) { 886 allocated(deqPtr) := false.B 887 } 888 889 // (4) or vector store: 890 // TODO: implement it! 891 io.vecmmioStout := DontCare 892 io.vecmmioStout.valid := false.B //uncacheState === s_wb && isVec(deqPtr) 893 io.vecmmioStout.bits.uop := uop(deqPtr) 894 io.vecmmioStout.bits.uop.sqIdx := deqPtrExt(0) 895 io.vecmmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr) 896 io.vecmmioStout.bits.debug.isMMIO := true.B 897 io.vecmmioStout.bits.debug.paddr := DontCare 898 io.vecmmioStout.bits.debug.isPerfCnt := false.B 899 io.vecmmioStout.bits.debug.vaddr := DontCare 900 // Remove MMIO inst from store queue after MMIO request is being sent 901 // That inst will be traced by uncache state machine 902 when (io.vecmmioStout.fire) { 903 allocated(deqPtr) := false.B 904 } 905 906 /** 907 * ROB commits store instructions (mark them as committed) 908 * 909 * (1) When store commits, mark it as committed. 910 * (2) They will not be cancelled and can be sent to lower level. 911 */ 912 XSError(uncacheState =/= s_idle && uncacheState =/= s_wait && commitCount > 0.U, 913 "should not commit instruction when MMIO has not been finished\n") 914 915 val commitVec = WireInit(VecInit(Seq.fill(CommitWidth)(false.B))) 916 val needCancel = Wire(Vec(StoreQueueSize, Bool())) // Will be assigned later 917 dontTouch(commitVec) 918 // TODO: Deal with vector store mmio 919 for (i <- 0 until CommitWidth) { 920 when (allocated(cmtPtrExt(i).value) && isNotAfter(uop(cmtPtrExt(i).value).robIdx, GatedRegNext(io.rob.pendingPtr)) && !needCancel(cmtPtrExt(i).value) && (!waitStoreS2(cmtPtrExt(i).value) || isVec(cmtPtrExt(i).value))) { 921 // don't commit while doing misalign 922 if (i == 0){ 923 // TODO: fixme for vector mmio 924 when ((uncacheState === s_idle) || (uncacheState === s_wait && scommit > 0.U)){ 925 when ((isVec(cmtPtrExt(i).value) && vecMbCommit(cmtPtrExt(i).value)) || !isVec(cmtPtrExt(i).value)) { 926 committed(cmtPtrExt(0).value) := Mux(misalignBlock, false.B, true.B) 927 commitVec(0) := Mux(misalignBlock, false.B, true.B) 928 } 929 } 930 } else { 931 when ((isVec(cmtPtrExt(i).value) && vecMbCommit(cmtPtrExt(i).value)) || !isVec(cmtPtrExt(i).value)) { 932 committed(cmtPtrExt(i).value) := Mux(misalignBlock, false.B, commitVec(i - 1) || committed(cmtPtrExt(i).value)) 933 commitVec(i) := Mux(misalignBlock, false.B, commitVec(i - 1)) 934 } 935 } 936 } 937 } 938 939 commitCount := PopCount(commitVec) 940 cmtPtrExt := cmtPtrExt.map(_ + commitCount) 941 942 // committed stores will not be cancelled and can be sent to lower level. 943 // remove retired insts from sq, add retired store to sbuffer 944 945 // Read data from data module 946 // As store queue grows larger and larger, time needed to read data from data 947 // module keeps growing higher. Now we give data read a whole cycle. 948 for (i <- 0 until EnsbufferWidth) { 949 val ptr = rdataPtrExt(i).value 950 val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value)) 951 val exceptionValid = if(i == 0) hasException(rdataPtrExt(0).value) else { 952 hasException(rdataPtrExt(i).value) || (hasException(rdataPtrExt(i-1).value) && uop(rdataPtrExt(i).value).robIdx === uop(rdataPtrExt(i-1).value).robIdx) 953 } 954 val vecNotAllMask = dataModule.io.rdata(i).mask.orR 955 // Vector instructions that prevent triggered exceptions from being written to the 'databuffer'. 956 val vecHasExceptionFlagValid = vecExceptionFlag.valid && isVec(ptr) && vecExceptionFlag.bits.robIdx === uop(ptr).robIdx 957 if (i == 0) { 958 // use dataBuffer write port 0 to writeback missaligned store out 959 dataBuffer.io.enq(i).valid := Mux( 960 doMisalignSt, 961 io.maControl.control.writeSb, 962 allocated(ptr) && committed(ptr) && ((!isVec(ptr) && (allvalid(ptr) || hasException(ptr))) || vecMbCommit(ptr)) && !mmioStall 963 ) 964 } else { 965 dataBuffer.io.enq(i).valid := Mux( 966 doMisalignSt, 967 false.B, 968 allocated(ptr) && committed(ptr) && ((!isVec(ptr) && (allvalid(ptr) || hasException(ptr))) || vecMbCommit(ptr)) && !mmioStall 969 ) 970 } 971 // Note that store data/addr should both be valid after store's commit 972 assert(!dataBuffer.io.enq(i).valid || allvalid(ptr) || doMisalignSt || (allocated(ptr) && vecMbCommit(ptr))) 973 dataBuffer.io.enq(i).bits.addr := Mux(doMisalignSt, io.maControl.control.paddr, paddrModule.io.rdata(i)) 974 dataBuffer.io.enq(i).bits.vaddr := Mux(doMisalignSt, io.maControl.control.vaddr, vaddrModule.io.rdata(i)) 975 dataBuffer.io.enq(i).bits.data := Mux(doMisalignSt, io.maControl.control.wdata, dataModule.io.rdata(i).data) 976 dataBuffer.io.enq(i).bits.mask := Mux(doMisalignSt, io.maControl.control.wmask, dataModule.io.rdata(i).mask) 977 dataBuffer.io.enq(i).bits.wline := Mux(doMisalignSt, false.B, paddrModule.io.rlineflag(i)) 978 dataBuffer.io.enq(i).bits.sqPtr := rdataPtrExt(i) 979 dataBuffer.io.enq(i).bits.prefetch := Mux(doMisalignSt, false.B, prefetch(ptr)) 980 // when scalar has exception, will also not write into sbuffer 981 dataBuffer.io.enq(i).bits.vecValid := Mux(doMisalignSt, true.B, (!isVec(ptr) || (vecDataValid(ptr) && vecNotAllMask)) && !exceptionValid && !vecHasExceptionFlagValid) 982// dataBuffer.io.enq(i).bits.vecValid := (!isVec(ptr) || vecDataValid(ptr)) && !hasException(ptr) 983 } 984 985 // Send data stored in sbufferReqBitsReg to sbuffer 986 for (i <- 0 until EnsbufferWidth) { 987 io.sbuffer(i).valid := dataBuffer.io.deq(i).valid 988 dataBuffer.io.deq(i).ready := io.sbuffer(i).ready 989 io.sbuffer(i).bits := DontCare 990 io.sbuffer(i).bits.cmd := MemoryOpConstants.M_XWR 991 io.sbuffer(i).bits.addr := dataBuffer.io.deq(i).bits.addr 992 io.sbuffer(i).bits.vaddr := dataBuffer.io.deq(i).bits.vaddr 993 io.sbuffer(i).bits.data := dataBuffer.io.deq(i).bits.data 994 io.sbuffer(i).bits.mask := dataBuffer.io.deq(i).bits.mask 995 io.sbuffer(i).bits.wline := dataBuffer.io.deq(i).bits.wline && dataBuffer.io.deq(i).bits.vecValid 996 io.sbuffer(i).bits.prefetch := dataBuffer.io.deq(i).bits.prefetch 997 io.sbuffer(i).bits.vecValid := dataBuffer.io.deq(i).bits.vecValid 998 // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles. 999 // Before data write finish, sbuffer is unable to provide store to load 1000 // forward data. As an workaround, deqPtrExt and allocated flag update 1001 // is delayed so that load can get the right data from store queue. 1002 val ptr = dataBuffer.io.deq(i).bits.sqPtr.value 1003 when (RegNext(io.sbuffer(i).fire && !doMisalignSt)) { 1004 allocated(RegEnable(ptr, io.sbuffer(i).fire)) := false.B 1005 XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr) 1006 } 1007 } 1008 1009 // All vector instruction uop normally dequeue, but the Uop after the exception is raised does not write to the 'sbuffer'. 1010 // Flags are used to record whether there are any exceptions when the queue is displayed. 1011 // This is determined each time a write is made to the 'databuffer', prevent subsequent uop of the same instruction from writing to the 'dataBuffer'. 1012 val vecCommitHasException = (0 until EnsbufferWidth).map{ i => 1013 val ptr = rdataPtrExt(i).value 1014 val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value)) 1015 val exceptionVliad = allocated(ptr) && committed(ptr) && vecMbCommit(ptr) && !mmioStall && isVec(ptr) && vecDataValid(ptr) && hasException(ptr) 1016 (exceptionVliad, uop(ptr)) 1017 } 1018 1019 val vecCommitHasExceptionValid = vecCommitHasException.map(_._1) 1020 val vecCommitHasExceptionUop = vecCommitHasException.map(_._2) 1021 val vecCommitHasExceptionValidOR = vecCommitHasExceptionValid.reduce(_ || _) 1022 // Just select the last Uop tah has an exception. 1023 val vecCommitHasExceptionSelectUop = ParallelPosteriorityMux(vecCommitHasExceptionValid, vecCommitHasExceptionUop) 1024 // If the last Uop with an exception is the LastUop of this instruction, the flag is not set. 1025 val vecCommitLastUop = vecCommitHasExceptionSelectUop.lastUop 1026 1027 val vecExceptionFlagCancel = (0 until EnsbufferWidth).map{ i => 1028 val ptr = rdataPtrExt(i).value 1029 val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value)) 1030 val vecLastUopCommit = uop(ptr).lastUop && (uop(ptr).robIdx === vecExceptionFlag.bits.robIdx) && 1031 allocated(ptr) && committed(ptr) && vecMbCommit(ptr) && !mmioStall && isVec(ptr) && vecDataValid(ptr) 1032 vecLastUopCommit 1033 }.reduce(_ || _) 1034 1035 // When a LastUop with an exception instruction is commited, clear the flag. 1036 when(!vecExceptionFlag.valid && vecCommitHasExceptionValidOR && !vecCommitLastUop) { 1037 vecExceptionFlag.valid := true.B 1038 vecExceptionFlag.bits := vecCommitHasExceptionSelectUop 1039 }.elsewhen(vecExceptionFlag.valid && vecExceptionFlagCancel) { 1040 vecExceptionFlag.valid := false.B 1041 vecExceptionFlag.bits := 0.U.asTypeOf(new DynInst) 1042 } 1043 1044 // A dumb defensive code. The flag should not be placed for a long period of time. 1045 // A relatively large timeout period, not have any special meaning. 1046 // If an assert appears and you confirm that it is not a Bug: Increase the timeout or remove the assert. 1047 TimeOutAssert(vecExceptionFlag.valid, 3000, "vecExceptionFlag timeout, Plase check for bugs or add timeouts.") 1048 1049 // Initialize when unenabled difftest. 1050 for (i <- 0 until EnsbufferWidth) { 1051 io.sbufferVecDifftestInfo(i) := DontCare 1052 } 1053 // Consistent with the logic above. 1054 // Only the vector store difftest required signal is separated from the rtl code. 1055 if (env.EnableDifftest) { 1056 for (i <- 0 until EnsbufferWidth) { 1057 val ptr = rdataPtrExt(i).value 1058 val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value)) 1059 difftestBuffer.get.io.enq(i).valid := allocated(ptr) && committed(ptr) && (!isVec(ptr) || vecMbCommit(ptr)) && !mmioStall 1060 difftestBuffer.get.io.enq(i).bits := uop(ptr) 1061 } 1062 for (i <- 0 until EnsbufferWidth) { 1063 io.sbufferVecDifftestInfo(i).valid := difftestBuffer.get.io.deq(i).valid 1064 difftestBuffer.get.io.deq(i).ready := io.sbufferVecDifftestInfo(i).ready 1065 1066 io.sbufferVecDifftestInfo(i).bits := difftestBuffer.get.io.deq(i).bits 1067 } 1068 } 1069 1070 (1 until EnsbufferWidth).foreach(i => when(io.sbuffer(i).fire) { assert(io.sbuffer(i - 1).fire) }) 1071 if (coreParams.dcacheParametersOpt.isEmpty) { 1072 for (i <- 0 until EnsbufferWidth) { 1073 val ptr = deqPtrExt(i).value 1074 val ram = DifftestMem(64L * 1024 * 1024 * 1024, 8) 1075 val wen = allocated(ptr) && committed(ptr) && !mmio(ptr) 1076 val waddr = ((paddrModule.io.rdata(i) - "h80000000".U) >> 3).asUInt 1077 val wdata = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).data(127, 64), dataModule.io.rdata(i).data(63, 0)) 1078 val wmask = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).mask(15, 8), dataModule.io.rdata(i).mask(7, 0)) 1079 when (wen) { 1080 ram.write(waddr, wdata.asTypeOf(Vec(8, UInt(8.W))), wmask.asBools) 1081 } 1082 } 1083 } 1084 1085 // Read vaddr for mem exception 1086 io.exceptionAddr.vaddr := exceptionBuffer.io.exceptionAddr.vaddr 1087 io.exceptionAddr.gpaddr := exceptionBuffer.io.exceptionAddr.gpaddr 1088 io.exceptionAddr.vstart := exceptionBuffer.io.exceptionAddr.vstart 1089 io.exceptionAddr.vl := exceptionBuffer.io.exceptionAddr.vl 1090 1091 // vector commit or replay from 1092 val vecCommittmp = Wire(Vec(StoreQueueSize, Vec(VecStorePipelineWidth, Bool()))) 1093 val vecCommit = Wire(Vec(StoreQueueSize, Bool())) 1094 for (i <- 0 until StoreQueueSize) { 1095 val fbk = io.vecFeedback 1096 for (j <- 0 until VecStorePipelineWidth) { 1097 vecCommittmp(i)(j) := fbk(j).valid && (fbk(j).bits.isCommit || fbk(j).bits.isFlush) && 1098 uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx && allocated(i) 1099 } 1100 vecCommit(i) := vecCommittmp(i).reduce(_ || _) 1101 1102 when (vecCommit(i)) { 1103 vecMbCommit(i) := true.B 1104 } 1105 } 1106 1107 // misprediction recovery / exception redirect 1108 // invalidate sq term using robIdx 1109 for (i <- 0 until StoreQueueSize) { 1110 needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) && !committed(i) && 1111 (!isVec(i) || !(uop(i).robIdx === io.brqRedirect.bits.robIdx)) 1112 when (needCancel(i)) { 1113 allocated(i) := false.B 1114 } 1115 } 1116 1117 /** 1118* update pointers 1119**/ 1120 val enqCancelValid = canEnqueue.zip(io.enq.req).map{case (v , x) => 1121 v && x.bits.robIdx.needFlush(io.brqRedirect) 1122 } 1123 val enqCancelNum = enqCancelValid.zip(io.enq.req).map{case (v, req) => 1124 Mux(v, req.bits.numLsElem, 0.U) 1125 } 1126 val lastEnqCancel = RegEnable(enqCancelNum.reduce(_ + _), io.brqRedirect.valid) // 1 cycle after redirect 1127 1128 val lastCycleCancelCount = PopCount(RegEnable(needCancel, io.brqRedirect.valid)) // 1 cycle after redirect 1129 val lastCycleRedirect = RegNext(io.brqRedirect.valid) // 1 cycle after redirect 1130 val enqNumber = validVStoreFlow.reduce(_ + _) 1131 1132 val lastlastCycleRedirect=RegNext(lastCycleRedirect)// 2 cycle after redirect 1133 val redirectCancelCount = RegEnable(lastCycleCancelCount + lastEnqCancel, 0.U, lastCycleRedirect) // 2 cycle after redirect 1134 1135 when (lastlastCycleRedirect) { 1136 // we recover the pointers in 2 cycle after redirect for better timing 1137 enqPtrExt := VecInit(enqPtrExt.map(_ - redirectCancelCount)) 1138 }.otherwise { 1139 // lastCycleRedirect.valid or nornal case 1140 // when lastCycleRedirect.valid, enqNumber === 0.U, enqPtrExt will not change 1141 enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber)) 1142 } 1143 assert(!(lastCycleRedirect && enqNumber =/= 0.U)) 1144 1145 exceptionBuffer.io.flushFrmMaBuf := finishMisalignSt 1146 // special case (store miss align) in updating ptr 1147 when (doMisalignSt) { 1148 when (!finishMisalignSt) { 1149 // dont move deqPtr and rdataPtr until all split store has been written to sb 1150 deqPtrExtNext := deqPtrExt 1151 rdataPtrExtNext := rdataPtrExt 1152 } .otherwise { 1153 // remove this unaligned store from sq 1154 allocated(deqPtr) := false.B 1155 committed(deqPtr) := true.B 1156 cmtPtrExt := cmtPtrExt.map(_ + 1.U) 1157 deqPtrExtNext := deqPtrExt.map(_ + 1.U) 1158 rdataPtrExtNext := rdataPtrExt.map(_ + 1.U) 1159 } 1160 } 1161 1162 deqPtrExt := deqPtrExtNext 1163 rdataPtrExt := rdataPtrExtNext 1164 1165 // val dequeueCount = Mux(io.sbuffer(1).fire, 2.U, Mux(io.sbuffer(0).fire || io.mmioStout.fire, 1.U, 0.U)) 1166 1167 // If redirect at T0, sqCancelCnt is at T2 1168 io.sqCancelCnt := redirectCancelCount 1169 val ForceWriteUpper = Wire(UInt(log2Up(StoreQueueSize + 1).W)) 1170 ForceWriteUpper := Constantin.createRecord(s"ForceWriteUpper_${p(XSCoreParamsKey).HartId}", initValue = 60) 1171 val ForceWriteLower = Wire(UInt(log2Up(StoreQueueSize + 1).W)) 1172 ForceWriteLower := Constantin.createRecord(s"ForceWriteLower_${p(XSCoreParamsKey).HartId}", initValue = 55) 1173 1174 val valid_cnt = PopCount(allocated) 1175 io.force_write := RegNext(Mux(valid_cnt >= ForceWriteUpper, true.B, valid_cnt >= ForceWriteLower && io.force_write), init = false.B) 1176 1177 // io.sqempty will be used by sbuffer 1178 // We delay it for 1 cycle for better timing 1179 // When sbuffer need to check if it is empty, the pipeline is blocked, which means delay io.sqempty 1180 // for 1 cycle will also promise that sq is empty in that cycle 1181 io.sqEmpty := RegNext( 1182 enqPtrExt(0).value === deqPtrExt(0).value && 1183 enqPtrExt(0).flag === deqPtrExt(0).flag 1184 ) 1185 // perf counter 1186 QueuePerf(StoreQueueSize, validCount, !allowEnqueue) 1187 val vecValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => allocated(i) && isVec(i)))) 1188 QueuePerf(StoreQueueSize, PopCount(vecValidVec), !allowEnqueue) 1189 io.sqFull := !allowEnqueue 1190 XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req 1191 XSPerfAccumulate("mmioCnt", io.uncache.req.fire) 1192 XSPerfAccumulate("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire) 1193 XSPerfAccumulate("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready)) 1194 XSPerfAccumulate("validEntryCnt", distanceBetween(enqPtrExt(0), deqPtrExt(0))) 1195 XSPerfAccumulate("cmtEntryCnt", distanceBetween(cmtPtrExt(0), deqPtrExt(0))) 1196 XSPerfAccumulate("nCmtEntryCnt", distanceBetween(enqPtrExt(0), cmtPtrExt(0))) 1197 1198 val perfValidCount = distanceBetween(enqPtrExt(0), deqPtrExt(0)) 1199 val perfEvents = Seq( 1200 ("mmioCycle ", uncacheState =/= s_idle), 1201 ("mmioCnt ", io.uncache.req.fire), 1202 ("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire), 1203 ("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready)), 1204 ("stq_1_4_valid ", (perfValidCount < (StoreQueueSize.U/4.U))), 1205 ("stq_2_4_valid ", (perfValidCount > (StoreQueueSize.U/4.U)) & (perfValidCount <= (StoreQueueSize.U/2.U))), 1206 ("stq_3_4_valid ", (perfValidCount > (StoreQueueSize.U/2.U)) & (perfValidCount <= (StoreQueueSize.U*3.U/4.U))), 1207 ("stq_4_4_valid ", (perfValidCount > (StoreQueueSize.U*3.U/4.U))), 1208 ) 1209 generatePerfEvent() 1210 1211 // debug info 1212 XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt(0).flag, deqPtr) 1213 1214 def PrintFlag(flag: Bool, name: String): Unit = { 1215 when(flag) { 1216 XSDebug(false, true.B, name) 1217 }.otherwise { 1218 XSDebug(false, true.B, " ") 1219 } 1220 } 1221 1222 for (i <- 0 until StoreQueueSize) { 1223 XSDebug(s"$i: pc %x va %x pa %x data %x ", 1224 uop(i).pc, 1225 debug_vaddr(i), 1226 debug_paddr(i), 1227 debug_data(i) 1228 ) 1229 PrintFlag(allocated(i), "a") 1230 PrintFlag(allocated(i) && addrvalid(i), "a") 1231 PrintFlag(allocated(i) && datavalid(i), "d") 1232 PrintFlag(allocated(i) && committed(i), "c") 1233 PrintFlag(allocated(i) && pending(i), "p") 1234 PrintFlag(allocated(i) && mmio(i), "m") 1235 XSDebug(false, true.B, "\n") 1236 } 1237 1238} 1239