xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/StoreQueue.scala (revision 2f566220aec0419c536a94ee601bfe73a1e5e182)
1package xiangshan.mem
2
3import chisel3._
4import chisel3.util._
5import utils._
6import xiangshan._
7import xiangshan.cache._
8import xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
9import xiangshan.backend.LSUOpType
10import xiangshan.backend.roq.RoqPtr
11
12
13class SqPtr extends CircularQueuePtr(SqPtr.StoreQueueSize) { }
14
15object SqPtr extends HasXSParameter {
16  def apply(f: Bool, v: UInt): SqPtr = {
17    val ptr = Wire(new SqPtr)
18    ptr.flag := f
19    ptr.value := v
20    ptr
21  }
22}
23
24// Store Queue
25class StoreQueue extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
26  val io = IO(new Bundle() {
27    val enq = new Bundle() {
28      val canAccept = Output(Bool())
29      val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp)))
30      val resp = Vec(RenameWidth, Output(new SqPtr))
31    }
32    val brqRedirect = Input(Valid(new Redirect))
33    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
34    val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq))
35    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
36    val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
37    val commits = Flipped(new RoqCommitIO)
38    val uncache = new DCacheWordIO
39    val roqDeqPtr = Input(new RoqPtr)
40    // val refill = Flipped(Valid(new DCacheLineReq ))
41    val exceptionAddr = new ExceptionAddrIO
42  })
43
44  val uop = Reg(Vec(StoreQueueSize, new MicroOp))
45  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
46  val dataModule = Module(new LSQueueData(StoreQueueSize, StorePipelineWidth))
47  dataModule.io := DontCare
48  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
49  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
50  val writebacked = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // inst has been writebacked to CDB
51  val commited = Reg(Vec(StoreQueueSize, Bool())) // inst has been commited by roq
52  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq
53
54  val enqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
55  val deqPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
56  val enqPtr = enqPtrExt.value
57  val deqPtr = deqPtrExt.value
58  val sameFlag = enqPtrExt.flag === deqPtrExt.flag
59  val isEmpty = enqPtr === deqPtr && sameFlag
60  val isFull = enqPtr === deqPtr && !sameFlag
61  val allowIn = !isFull
62
63  val storeCommit = (0 until CommitWidth).map(i => io.commits.valid(i) && !io.commits.isWalk && io.commits.uop(i).ctrl.commitType === CommitType.STORE)
64  val mcommitIdx = (0 until CommitWidth).map(i => io.commits.uop(i).sqIdx.value)
65
66  val tailMask = (((1.U((StoreQueueSize + 1).W)) << deqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
67  val headMask = (((1.U((StoreQueueSize + 1).W)) << enqPtr).asUInt - 1.U)(StoreQueueSize - 1, 0)
68  val enqDeqMask1 = tailMask ^ headMask
69  val enqDeqMask = Mux(sameFlag, enqDeqMask1, ~enqDeqMask1)
70
71  // Enqueue at dispatch
72  val validEntries = distanceBetween(enqPtrExt, deqPtrExt)
73  val firedDispatch = io.enq.req.map(_.valid)
74  io.enq.canAccept := validEntries <= (StoreQueueSize - RenameWidth).U
75  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(firedDispatch))}\n")
76  for (i <- 0 until RenameWidth) {
77    val offset = if (i == 0) 0.U else PopCount((0 until i).map(firedDispatch(_)))
78    val sqIdx = enqPtrExt + offset
79    val index = sqIdx.value
80    when(io.enq.req(i).valid) {
81      uop(index) := io.enq.req(i).bits
82      allocated(index) := true.B
83      datavalid(index) := false.B
84      writebacked(index) := false.B
85      commited(index) := false.B
86      pending(index) := false.B
87    }
88    io.enq.resp(i) := sqIdx
89
90    XSError(!io.enq.canAccept && io.enq.req(i).valid, "should not valid when not ready\n")
91  }
92
93  when(Cat(firedDispatch).orR) {
94    enqPtrExt := enqPtrExt + PopCount(firedDispatch)
95    XSInfo("dispatched %d insts to sq\n", PopCount(firedDispatch))
96  }
97
98  // writeback store
99  (0 until StorePipelineWidth).map(i => {
100    dataModule.io.wb(i).wen := false.B
101    when(io.storeIn(i).fire()) {
102      val stWbIndex = io.storeIn(i).bits.uop.sqIdx.value
103      val hasException = io.storeIn(i).bits.uop.cf.exceptionVec.asUInt.orR
104      val hasWritebacked = !io.storeIn(i).bits.mmio || hasException
105      datavalid(stWbIndex) := hasWritebacked
106      writebacked(stWbIndex) := hasWritebacked
107      pending(stWbIndex) := !hasWritebacked // valid mmio require
108
109      val storeWbData = Wire(new LsqEntry)
110      storeWbData := DontCare
111      storeWbData.paddr := io.storeIn(i).bits.paddr
112      storeWbData.vaddr := io.storeIn(i).bits.vaddr
113      storeWbData.mask := io.storeIn(i).bits.mask
114      storeWbData.data := io.storeIn(i).bits.data
115      storeWbData.mmio := io.storeIn(i).bits.mmio
116      storeWbData.exception := io.storeIn(i).bits.uop.cf.exceptionVec.asUInt
117
118      dataModule.io.wbWrite(i, stWbIndex, storeWbData)
119      dataModule.io.wb(i).wen := true.B
120
121      XSInfo("store write to sq idx %d pc 0x%x vaddr %x paddr %x data %x mmio %x roll %x exc %x\n",
122        io.storeIn(i).bits.uop.sqIdx.value,
123        io.storeIn(i).bits.uop.cf.pc,
124        io.storeIn(i).bits.vaddr,
125        io.storeIn(i).bits.paddr,
126        io.storeIn(i).bits.data,
127        io.storeIn(i).bits.mmio,
128        io.storeIn(i).bits.rollback,
129        io.storeIn(i).bits.uop.cf.exceptionVec.asUInt
130        )
131    }
132  })
133
134  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
135    val length = mask.length
136    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
137    val highBitsUint = Cat(highBits.reverse)
138    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
139  }
140
141  def getFirstOneWithFlag(mask: Vec[Bool], startMask: UInt, startFlag: Bool) = {
142    val length = mask.length
143    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
144    val highBitsUint = Cat(highBits.reverse)
145    val changeDirection = !highBitsUint.orR()
146    val index = PriorityEncoder(Mux(!changeDirection, highBitsUint, mask.asUInt))
147    SqPtr(startFlag ^ changeDirection, index)
148  }
149
150  def selectFirstTwo(valid: Vec[Bool], startMask: UInt) = {
151    val selVec = Wire(Vec(2, UInt(log2Up(StoreQueueSize).W)))
152    val selValid = Wire(Vec(2, Bool()))
153    selVec(0) := getFirstOne(valid, startMask)
154    val firstSelMask = UIntToOH(selVec(0))
155    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !firstSelMask(i)))
156    selVec(1) := getFirstOne(secondSelVec, startMask)
157    selValid(0) := Cat(valid).orR
158    selValid(1) := Cat(secondSelVec).orR
159    (selValid, selVec)
160  }
161
162  def selectFirstTwoRoughly(valid: Vec[Bool]) = {
163    // TODO: do not select according to seq, just select 2 valid bit randomly
164    val firstSelVec = valid
165    val notFirstVec = Wire(Vec(valid.length, Bool()))
166    (0 until valid.length).map(i =>
167      notFirstVec(i) := (if(i != 0) { valid(i) || !notFirstVec(i) } else { false.B })
168    )
169    val secondSelVec = VecInit((0 until valid.length).map(i => valid(i) && !notFirstVec(i)))
170
171    val selVec = Wire(Vec(2, UInt(log2Up(valid.length).W)))
172    val selValid = Wire(Vec(2, Bool()))
173    selVec(0) := PriorityEncoder(firstSelVec)
174    selVec(1) := PriorityEncoder(secondSelVec)
175    selValid(0) := Cat(firstSelVec).orR
176    selValid(1) := Cat(secondSelVec).orR
177    (selValid, selVec)
178  }
179
180  // writeback finished mmio store
181  io.mmioStout.bits.uop := uop(deqPtr)
182  io.mmioStout.bits.uop.sqIdx := deqPtrExt
183  io.mmioStout.bits.uop.cf.exceptionVec := dataModule.io.rdata(deqPtr).exception.asBools
184  io.mmioStout.bits.data := dataModule.io.rdata(deqPtr).data
185  io.mmioStout.bits.redirectValid := false.B
186  io.mmioStout.bits.redirect := DontCare
187  io.mmioStout.bits.brUpdate := DontCare
188  io.mmioStout.bits.debug.isMMIO := true.B
189  io.mmioStout.bits.fflags := DontCare
190  io.mmioStout.valid := allocated(deqPtr) && datavalid(deqPtr) && !writebacked(deqPtr) // finished mmio store
191  when(io.mmioStout.fire()) {
192    writebacked(deqPtr) := true.B
193    allocated(deqPtr) := false.B // potential opt: move deqPtr immediately
194  }
195
196  // remove retired insts from sq, add retired store to sbuffer
197
198  // move tailPtr
199  // TailPtr slow recovery: recycle bubbles in store queue
200  // allocatedMask: dequeuePtr can go to the next 1-bit
201  val allocatedMask = VecInit((0 until StoreQueueSize).map(i => allocated(i) || !enqDeqMask(i)))
202  // find the first one from deqPtr (deqPtr)
203  val nextTail1 = getFirstOneWithFlag(allocatedMask, tailMask, deqPtrExt.flag)
204  val nextTail = Mux(Cat(allocatedMask).orR, nextTail1, enqPtrExt)
205  deqPtrExt := nextTail
206
207  // TailPtr fast recovery
208  // val tailRecycle = VecInit(List(
209  //   io.uncache.resp.fire() || io.sbuffer(0).fire(),
210  //   io.sbuffer(1).fire()
211  // ))
212
213  when(io.sbuffer(0).fire()){
214    deqPtrExt := deqPtrExt + Mux(io.sbuffer(1).fire(), 2.U, 1.U)
215  }
216
217  // load forward query
218  // check over all lq entries and forward data from the first matched store
219  (0 until LoadPipelineWidth).map(i => {
220    io.forward(i).forwardMask := 0.U(8.W).asBools
221    io.forward(i).forwardData := DontCare
222
223    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
224    // (1) if they have the same flag, we need to check range(tail, sqIdx)
225    // (2) if they have different flags, we need to check range(tail, LoadQueueSize) and range(0, sqIdx)
226    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, LoadQueueSize))
227    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
228    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
229
230    val differentFlag = deqPtrExt.flag =/= io.forward(i).sqIdx.flag
231    val forwardMask = ((1.U((StoreQueueSize + 1).W)) << io.forward(i).sqIdx.value).asUInt - 1.U
232    val storeWritebackedVec = WireInit(VecInit(Seq.fill(StoreQueueSize)(false.B)))
233    for (j <- 0 until StoreQueueSize) {
234      storeWritebackedVec(j) := datavalid(j) && allocated(j) // all datavalid terms need to be checked
235    }
236    val needForward1 = Mux(differentFlag, ~tailMask, tailMask ^ forwardMask) & storeWritebackedVec.asUInt
237    val needForward2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W)) & storeWritebackedVec.asUInt
238
239    XSDebug("" + i + " f1 %b f2 %b sqIdx %d pa %x\n", needForward1, needForward2, io.forward(i).sqIdx.asUInt, io.forward(i).paddr)
240
241    // do real fwd query
242    dataModule.io.forwardQuery(
243      channel = i,
244      paddr = io.forward(i).paddr,
245      needForward1 = needForward1,
246      needForward2 = needForward2
247    )
248
249    io.forward(i).forwardMask := dataModule.io.forward(i).forwardMask
250    io.forward(i).forwardData := dataModule.io.forward(i).forwardData
251  })
252
253  // When store commited, mark it as commited (will not be influenced by redirect),
254  (0 until CommitWidth).map(i => {
255    when(storeCommit(i)) {
256      commited(mcommitIdx(i)) := true.B
257      XSDebug("store commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc)
258    }
259  })
260
261  (0 until 2).map(i => {
262    val ptr = (deqPtrExt + i.U).value
263    val mmio = dataModule.io.rdata(ptr).mmio
264    io.sbuffer(i).valid := allocated(ptr) && commited(ptr) && !mmio
265    io.sbuffer(i).bits.cmd  := MemoryOpConstants.M_XWR
266    io.sbuffer(i).bits.addr := dataModule.io.rdata(ptr).paddr
267    io.sbuffer(i).bits.data := dataModule.io.rdata(ptr).data
268    io.sbuffer(i).bits.mask := dataModule.io.rdata(ptr).mask
269    io.sbuffer(i).bits.meta          := DontCare
270    io.sbuffer(i).bits.meta.tlb_miss := false.B
271    io.sbuffer(i).bits.meta.uop      := DontCare
272    io.sbuffer(i).bits.meta.mmio     := mmio
273    io.sbuffer(i).bits.meta.mask     := dataModule.io.rdata(ptr).mask
274
275    when(io.sbuffer(i).fire()) {
276      allocated(ptr) := false.B
277      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
278    }
279  })
280
281  // Memory mapped IO / other uncached operations
282
283  // setup misc mem access req
284  // mask / paddr / data can be get from sq.data
285  val commitType = io.commits.uop(0).ctrl.commitType
286  io.uncache.req.valid := pending(deqPtr) && allocated(deqPtr) &&
287    commitType === CommitType.STORE &&
288    io.roqDeqPtr === uop(deqPtr).roqIdx &&
289    !io.commits.isWalk
290
291  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
292  io.uncache.req.bits.addr := dataModule.io.rdata(deqPtr).paddr
293  io.uncache.req.bits.data := dataModule.io.rdata(deqPtr).data
294  io.uncache.req.bits.mask := dataModule.io.rdata(deqPtr).mask
295
296  io.uncache.req.bits.meta.id       := DontCare // TODO: // FIXME
297  io.uncache.req.bits.meta.vaddr    := DontCare
298  io.uncache.req.bits.meta.paddr    := dataModule.io.rdata(deqPtr).paddr
299  io.uncache.req.bits.meta.uop      := uop(deqPtr)
300  io.uncache.req.bits.meta.mmio     := true.B // dataModule.io.rdata(deqPtr).mmio
301  io.uncache.req.bits.meta.tlb_miss := false.B
302  io.uncache.req.bits.meta.mask     := dataModule.io.rdata(deqPtr).mask
303  io.uncache.req.bits.meta.replay   := false.B
304
305  io.uncache.resp.ready := true.B
306
307  when(io.uncache.req.fire()){
308    pending(deqPtr) := false.B
309  }
310
311  when(io.uncache.resp.fire()){
312    datavalid(deqPtr) := true.B // will be writeback to CDB in the next cycle
313    // TODO: write back exception info
314  }
315
316  when(io.uncache.req.fire()){
317    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
318      uop(deqPtr).cf.pc,
319      io.uncache.req.bits.addr,
320      io.uncache.req.bits.data,
321      io.uncache.req.bits.cmd,
322      io.uncache.req.bits.mask
323    )
324  }
325
326  // Read vaddr for mem exception
327  io.exceptionAddr.vaddr := dataModule.io.rdata(io.exceptionAddr.lsIdx.sqIdx.value).vaddr
328
329  // misprediction recovery / exception redirect
330  // invalidate sq term using robIdx
331  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
332  for (i <- 0 until StoreQueueSize) {
333    needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i) && !commited(i)
334    when(needCancel(i)) {
335      // when(io.brqRedirect.bits.isReplay){
336      //   datavalid(i) := false.B
337      //   writebacked(i) := false.B
338      //   pending(i) := false.B
339      // }.otherwise{
340        allocated(i) := false.B
341      // }
342    }
343  }
344  when (io.brqRedirect.valid && io.brqRedirect.bits.isMisPred) {
345    enqPtrExt := enqPtrExt - PopCount(needCancel)
346  }
347
348  // debug info
349  XSDebug("head %d:%d tail %d:%d\n", enqPtrExt.flag, enqPtr, deqPtrExt.flag, deqPtr)
350
351  def PrintFlag(flag: Bool, name: String): Unit = {
352    when(flag) {
353      XSDebug(false, true.B, name)
354    }.otherwise {
355      XSDebug(false, true.B, " ")
356    }
357  }
358
359  for (i <- 0 until StoreQueueSize) {
360    if (i % 4 == 0) XSDebug("")
361    XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.rdata(i).paddr)
362    PrintFlag(allocated(i), "a")
363    PrintFlag(allocated(i) && datavalid(i), "v")
364    PrintFlag(allocated(i) && writebacked(i), "w")
365    PrintFlag(allocated(i) && commited(i), "c")
366    PrintFlag(allocated(i) && pending(i), "p")
367    XSDebug(false, true.B, " ")
368    if (i % 4 == 3 || i == StoreQueueSize - 1) XSDebug(false, true.B, "\n")
369  }
370
371}
372