xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/StoreQueue.scala (revision 26af847e669bb208507278eafc6ebe52f03b0d19)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chisel3._
20import chisel3.util._
21import difftest._
22import difftest.common.DifftestMem
23import org.chipsalliance.cde.config.Parameters
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.cache._
28import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants}
29import xiangshan.backend._
30import xiangshan.backend.rob.{RobLsqIO, RobPtr}
31import xiangshan.backend.Bundles.{DynInst, MemExuOutput}
32import xiangshan.backend.decode.isa.bitfield.{Riscv32BitInst, XSInstBitFields}
33import xiangshan.backend.fu.FuConfig._
34
35class SqPtr(implicit p: Parameters) extends CircularQueuePtr[SqPtr](
36  p => p(XSCoreParamsKey).StoreQueueSize
37){
38}
39
40object SqPtr {
41  def apply(f: Bool, v: UInt)(implicit p: Parameters): SqPtr = {
42    val ptr = Wire(new SqPtr)
43    ptr.flag := f
44    ptr.value := v
45    ptr
46  }
47}
48
49class SqEnqIO(implicit p: Parameters) extends MemBlockBundle {
50  val canAccept = Output(Bool())
51  val lqCanAccept = Input(Bool())
52  val needAlloc = Vec(LSQEnqWidth, Input(Bool()))
53  val req = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst)))
54  val resp = Vec(LSQEnqWidth, Output(new SqPtr))
55}
56
57class DataBufferEntry (implicit p: Parameters)  extends DCacheBundle {
58  val addr   = UInt(PAddrBits.W)
59  val vaddr  = UInt(VAddrBits.W)
60  val data   = UInt(VLEN.W)
61  val mask   = UInt((VLEN/8).W)
62  val wline = Bool()
63  val sqPtr  = new SqPtr
64  val prefetch = Bool()
65  val vec_mbCommit = Bool()
66}
67
68class StoreExceptionBuffer(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
69  val io = IO(new Bundle() {
70    val redirect = Flipped(ValidIO(new Redirect))
71    val storeAddrIn = Vec(StorePipelineWidth + 1, Flipped(ValidIO(new LsPipelineBundle())))
72    val exceptionAddr = new ExceptionAddrIO
73  })
74
75  val req_valid = RegInit(false.B)
76  val req = Reg(new LsPipelineBundle())
77
78  // enqueue
79  // S1:
80  val s1_req = VecInit(io.storeAddrIn.map(_.bits))
81  val s1_valid = VecInit(io.storeAddrIn.map(_.valid))
82
83  // S2: delay 1 cycle
84  val s2_req = RegNext(s1_req)
85  val s2_valid = (0 until StorePipelineWidth + 1).map(i =>
86    RegNext(s1_valid(i)) &&
87      !s2_req(i).uop.robIdx.needFlush(RegNext(io.redirect)) &&
88      !s2_req(i).uop.robIdx.needFlush(io.redirect)
89  )
90  val s2_has_exception = s2_req.map(x => ExceptionNO.selectByFu(x.uop.exceptionVec, StaCfg).asUInt.orR)
91
92  val s2_enqueue = Wire(Vec(StorePipelineWidth + 1, Bool()))
93  for (w <- 0 until StorePipelineWidth + 1) {
94    s2_enqueue(w) := s2_valid(w) && s2_has_exception(w)
95  }
96
97  when (req_valid && req.uop.robIdx.needFlush(io.redirect)) {
98    req_valid := s2_enqueue.asUInt.orR
99  }.elsewhen (s2_enqueue.asUInt.orR) {
100    req_valid := req_valid || true.B
101  }
102
103  def selectOldest[T <: LsPipelineBundle](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = {
104    assert(valid.length == bits.length)
105    if (valid.length == 0 || valid.length == 1) {
106      (valid, bits)
107    } else if (valid.length == 2) {
108      val res = Seq.fill(2)(Wire(Valid(chiselTypeOf(bits(0)))))
109      for (i <- res.indices) {
110        res(i).valid := valid(i)
111        res(i).bits := bits(i)
112      }
113      val oldest = Mux(valid(0) && valid(1),
114        Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx) ||
115          (isNotBefore(bits(0).uop.robIdx, bits(1).uop.robIdx) && bits(0).uop.uopIdx > bits(1).uop.uopIdx), res(1), res(0)),
116        Mux(valid(0) && !valid(1), res(0), res(1)))
117      (Seq(oldest.valid), Seq(oldest.bits))
118    } else {
119      val left = selectOldest(valid.take(valid.length / 2), bits.take(bits.length / 2))
120      val right = selectOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2)))
121      selectOldest(left._1 ++ right._1, left._2 ++ right._2)
122    }
123  }
124
125  val reqSel = selectOldest(s2_enqueue, s2_req)
126
127  when (req_valid) {
128    req := Mux(reqSel._1(0) && isAfter(req.uop.robIdx, reqSel._2(0).uop.robIdx) ||
129      (isNotBefore(req.uop.robIdx, reqSel._2(0).uop.robIdx) && req.uop.uopIdx > reqSel._2(0).uop.uopIdx), reqSel._2(0), req)
130  } .elsewhen (s2_enqueue.asUInt.orR) {
131    req := reqSel._2(0)
132  }
133
134  io.exceptionAddr.vaddr := req.vaddr
135}
136
137// Store Queue
138class StoreQueue(implicit p: Parameters) extends XSModule
139  with HasDCacheParameters
140  with HasCircularQueuePtrHelper
141  with HasPerfEvents
142  with HasVLSUParameters {
143  val io = IO(new Bundle() {
144    val hartId = Input(UInt(8.W))
145    val enq = new SqEnqIO
146    val brqRedirect = Flipped(ValidIO(new Redirect))
147    val vecFeedback = Flipped(ValidIO(new FeedbackToLsqIO))
148    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // store addr, data is not included
149    val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // store more mmio and exception
150    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true)))) // store data, send to sq from rs
151    val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // store mask, send to sq from rs
152    val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) // write committed store to sbuffer
153    val uncacheOutstanding = Input(Bool())
154    val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store
155    val vecmmioStout = DecoupledIO(new MemExuOutput(isVector = true))
156    val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO))
157    // TODO: For vector store commit
158    val robHead = Input(new RobPtr)
159    // TODO: scommit is only for scalar store
160    val rob = Flipped(new RobLsqIO)
161    val uncache = new UncacheWordIO
162    // val refill = Flipped(Valid(new DCacheLineReq ))
163    val exceptionAddr = new ExceptionAddrIO
164    val sqEmpty = Output(Bool())
165    val stAddrReadySqPtr = Output(new SqPtr)
166    val stAddrReadyVec = Output(Vec(StoreQueueSize, Bool()))
167    val stDataReadySqPtr = Output(new SqPtr)
168    val stDataReadyVec = Output(Vec(StoreQueueSize, Bool()))
169    val stIssuePtr = Output(new SqPtr)
170    val sqDeqPtr = Output(new SqPtr)
171    val sqFull = Output(Bool())
172    val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W))
173    val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W))
174    val force_write = Output(Bool())
175  })
176
177  println("StoreQueue: size:" + StoreQueueSize)
178
179  // data modules
180  val uop = Reg(Vec(StoreQueueSize, new DynInst))
181  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
182  val dataModule = Module(new SQDataModule(
183    numEntries = StoreQueueSize,
184    numRead = EnsbufferWidth,
185    numWrite = StorePipelineWidth,
186    numForward = LoadPipelineWidth
187  ))
188  dataModule.io := DontCare
189  val paddrModule = Module(new SQAddrModule(
190    dataWidth = PAddrBits,
191    numEntries = StoreQueueSize,
192    numRead = EnsbufferWidth,
193    numWrite = StorePipelineWidth,
194    numForward = LoadPipelineWidth
195  ))
196  paddrModule.io := DontCare
197  val vaddrModule = Module(new SQAddrModule(
198    dataWidth = VAddrBits,
199    numEntries = StoreQueueSize,
200    numRead = EnsbufferWidth, // sbuffer; badvaddr will be sent from exceptionBuffer
201    numWrite = StorePipelineWidth,
202    numForward = LoadPipelineWidth
203  ))
204  vaddrModule.io := DontCare
205  val dataBuffer = Module(new DatamoduleResultBuffer(new DataBufferEntry))
206  val exceptionBuffer = Module(new StoreExceptionBuffer)
207  exceptionBuffer.io.redirect := io.brqRedirect
208  // TODO: implement it!
209  exceptionBuffer.io.storeAddrIn(StorePipelineWidth) := DontCare
210
211  val debug_paddr = Reg(Vec(StoreQueueSize, UInt((PAddrBits).W)))
212  val debug_vaddr = Reg(Vec(StoreQueueSize, UInt((VAddrBits).W)))
213  val debug_data = Reg(Vec(StoreQueueSize, UInt((XLEN).W)))
214
215  // state & misc
216  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
217  val addrvalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio addr is valid
218  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
219  val allvalid  = VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i))) // non-mmio data & addr is valid
220  val committed = Reg(Vec(StoreQueueSize, Bool())) // inst has been committed by rob
221  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob
222  val mmio = Reg(Vec(StoreQueueSize, Bool())) // mmio: inst is an mmio inst
223  val atomic = Reg(Vec(StoreQueueSize, Bool()))
224  val prefetch = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // need prefetch when committing this store to sbuffer?
225  val is_vec = Reg(Vec(StoreQueueSize, Bool())) // vector store instruction
226  //val vec_lastuop = Reg(Vec(StoreQueueSize, Bool())) // last uop of vector store instruction
227  val vec_mbCommit = Reg(Vec(StoreQueueSize, Bool())) // vector store committed from merge buffer to rob
228  // val vec_robCommit = Reg(Vec(StoreQueueSize, Bool())) // vector store committed by rob
229  val vec_secondInv = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // Vector unit-stride, second entry is invalid
230
231  // ptr
232  val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new SqPtr))))
233  val rdataPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
234  val deqPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
235  val cmtPtrExt = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new SqPtr))))
236  val addrReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
237  val dataReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
238
239  val enqPtr = enqPtrExt(0).value
240  val deqPtr = deqPtrExt(0).value
241  val cmtPtr = cmtPtrExt(0).value
242
243  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
244  val allowEnqueue = validCount <= (StoreQueueSize - LSQStEnqWidth).U
245
246  val deqMask = UIntToMask(deqPtr, StoreQueueSize)
247  val enqMask = UIntToMask(enqPtr, StoreQueueSize)
248
249  // TODO: count commit numbers for scalar / vector store separately
250  val scalarCommitCount = RegInit(0.U(log2Ceil(StoreQueueSize + 1).W))
251  val scalarCommitted = WireInit(0.U(log2Ceil(CommitWidth + 1).W))
252  val vecCommitted = WireInit(0.U(log2Ceil(CommitWidth + 1).W))
253  val commitCount = WireInit(0.U(log2Ceil(CommitWidth + 1).W))
254
255  scalarCommitCount := scalarCommitCount + RegNext(io.rob.scommit) - scalarCommitted
256
257  // store can be committed by ROB
258  io.rob.mmio := DontCare
259  io.rob.uop := DontCare
260
261  // Read dataModule
262  assert(EnsbufferWidth <= 2)
263  // rdataPtrExtNext and rdataPtrExtNext+1 entry will be read from dataModule
264  val rdataPtrExtNext = WireInit(Mux(dataBuffer.io.enq(1).fire,
265    VecInit(rdataPtrExt.map(_ + 2.U)),
266    Mux(dataBuffer.io.enq(0).fire || io.mmioStout.fire || io.vecmmioStout.fire,
267      VecInit(rdataPtrExt.map(_ + 1.U)),
268      rdataPtrExt
269    )
270  ))
271
272  // deqPtrExtNext traces which inst is about to leave store queue
273  //
274  // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
275  // Before data write finish, sbuffer is unable to provide store to load
276  // forward data. As an workaround, deqPtrExt and allocated flag update
277  // is delayed so that load can get the right data from store queue.
278  //
279  // Modify deqPtrExtNext and io.sqDeq with care!
280  val sbuffer_Inv = RegInit(VecInit(List.fill(EnsbufferWidth)(false.B)))
281  val deqPtrExtNext = Mux(RegNext(io.sbuffer(1).fire || sbuffer_Inv(1)),
282    VecInit(deqPtrExt.map(_ + 2.U)),
283    Mux((RegNext(io.sbuffer(0).fire) || sbuffer_Inv(0)) || io.mmioStout.fire || io.vecmmioStout.fire,
284      VecInit(deqPtrExt.map(_ + 1.U)),
285      deqPtrExt
286    )
287  )
288  io.sqDeq := RegNext(Mux(RegNext(io.sbuffer(1).fire) || sbuffer_Inv(1), 2.U,
289    Mux((RegNext(io.sbuffer(0).fire) || sbuffer_Inv(0)) || io.mmioStout.fire || io.vecmmioStout.fire, 1.U, 0.U)
290  ))
291  assert(!RegNext(RegNext(io.sbuffer(0).fire) && (io.mmioStout.fire || io.vecmmioStout.fire)))
292
293  for (i <- 0 until EnsbufferWidth) {
294    dataModule.io.raddr(i) := rdataPtrExtNext(i).value
295    paddrModule.io.raddr(i) := rdataPtrExtNext(i).value
296    vaddrModule.io.raddr(i) := rdataPtrExtNext(i).value
297  }
298
299  /**
300    * Enqueue at dispatch
301    *
302    * Currently, StoreQueue only allows enqueue when #emptyEntries > EnqWidth
303    */
304  io.enq.canAccept := allowEnqueue
305  val canEnqueue = io.enq.req.map(_.valid)
306  val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect))
307  val vStoreFlow = io.enq.req.map(_.bits.numLsElem)
308  val validVStoreFlow = vStoreFlow.zipWithIndex.map{case (vLoadFlowNum_Item, index) => Mux(!RegNext(io.brqRedirect.valid) && io.enq.canAccept && io.enq.lqCanAccept && canEnqueue(index), vLoadFlowNum_Item, 0.U)}
309  val validVStoreOffset = 0.U +: vStoreFlow.zip(io.enq.needAlloc)
310    .map{case (flow, needAlloc_Item) => Mux(needAlloc_Item, flow, 0.U)}
311    .slice(0, vStoreFlow.length - 1)
312  for (i <- 0 until io.enq.req.length) {
313    val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i))
314    val sqIdx = enqPtrExt(0) + validVStoreOffset.take(i + 1).reduce(_ + _)
315//    val sqIdx = 0.U.asTypeOf(new SqPtr)
316    val index = io.enq.req(i).bits.sqIdx.value
317    val enqInstr = io.enq.req(i).bits.instr.asTypeOf(new XSInstBitFields)
318    when (canEnqueue(i) && !enqCancel(i)) {
319      uop(index) := io.enq.req(i).bits
320      // NOTE: the index will be used when replay
321      uop(index).sqIdx := sqIdx
322      allocated(index) := true.B
323      datavalid(index) := false.B
324      addrvalid(index) := false.B
325      committed(index) := false.B
326      pending(index) := false.B
327      prefetch(index) := false.B
328      mmio(index) := false.B
329      is_vec(index) := enqInstr.isVecStore // check vector store by the encoding of inst
330      vec_secondInv(index) := false.B
331
332      XSError(!io.enq.canAccept || !io.enq.lqCanAccept, s"must accept $i\n")
333      XSError(index =/= sqIdx.value, s"must be the same entry $i\n")
334    }
335    io.enq.resp(i) := sqIdx
336  }
337  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
338
339  /**
340    * Update addr/dataReadyPtr when issue from rs
341    */
342  // update issuePtr
343  val IssuePtrMoveStride = 4
344  require(IssuePtrMoveStride >= 2)
345
346  val addrReadyLookupVec = (0 until IssuePtrMoveStride).map(addrReadyPtrExt + _.U)
347  val addrReadyLookup = addrReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || addrvalid(ptr.value) || vec_secondInv(ptr.value))
348    && ptr =/= enqPtrExt(0))
349  val nextAddrReadyPtr = addrReadyPtrExt + PriorityEncoder(VecInit(addrReadyLookup.map(!_) :+ true.B))
350  addrReadyPtrExt := nextAddrReadyPtr
351
352  (0 until StoreQueueSize).map(i => {
353    io.stAddrReadyVec(i) := RegNext(allocated(i) && (mmio(i) || addrvalid(i) || vec_secondInv(i)))
354  })
355
356  when (io.brqRedirect.valid) {
357    addrReadyPtrExt := Mux(
358      isAfter(cmtPtrExt(0), deqPtrExt(0)),
359      cmtPtrExt(0),
360      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
361    )
362  }
363
364  io.stAddrReadySqPtr := addrReadyPtrExt
365
366  // update
367  val dataReadyLookupVec = (0 until IssuePtrMoveStride).map(dataReadyPtrExt + _.U)
368  val dataReadyLookup = dataReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || datavalid(ptr.value) || vec_secondInv(ptr.value))
369    && ptr =/= enqPtrExt(0))
370  val nextDataReadyPtr = dataReadyPtrExt + PriorityEncoder(VecInit(dataReadyLookup.map(!_) :+ true.B))
371  dataReadyPtrExt := nextDataReadyPtr
372
373  (0 until StoreQueueSize).map(i => {
374    io.stDataReadyVec(i) := RegNext(allocated(i) && (mmio(i) || datavalid(i) || vec_secondInv(i)))
375  })
376
377  when (io.brqRedirect.valid) {
378    dataReadyPtrExt := Mux(
379      isAfter(cmtPtrExt(0), deqPtrExt(0)),
380      cmtPtrExt(0),
381      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
382    )
383  }
384
385  io.stDataReadySqPtr := dataReadyPtrExt
386  io.stIssuePtr := enqPtrExt(0)
387  io.sqDeqPtr := deqPtrExt(0)
388
389  /**
390    * Writeback store from store units
391    *
392    * Most store instructions writeback to regfile in the previous cycle.
393    * However,
394    *   (1) For an mmio instruction with exceptions, we need to mark it as addrvalid
395    * (in this way it will trigger an exception when it reaches ROB's head)
396    * instead of pending to avoid sending them to lower level.
397    *   (2) For an mmio instruction without exceptions, we mark it as pending.
398    * When the instruction reaches ROB's head, StoreQueue sends it to uncache channel.
399    * Upon receiving the response, StoreQueue writes back the instruction
400    * through arbiter with store units. It will later commit as normal.
401    */
402
403  // Write addr to sq
404  for (i <- 0 until StorePipelineWidth) {
405    paddrModule.io.wen(i) := false.B
406    vaddrModule.io.wen(i) := false.B
407    dataModule.io.mask.wen(i) := false.B
408    val stWbIndex = io.storeAddrIn(i).bits.uop.sqIdx.value
409    when (io.storeAddrIn(i).fire) {
410      val addr_valid = !io.storeAddrIn(i).bits.miss
411      addrvalid(stWbIndex) := addr_valid //!io.storeAddrIn(i).bits.mmio
412      // pending(stWbIndex) := io.storeAddrIn(i).bits.mmio
413
414      paddrModule.io.waddr(i) := stWbIndex
415      paddrModule.io.wdata(i) := io.storeAddrIn(i).bits.paddr
416      paddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
417      paddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
418      paddrModule.io.wen(i) := true.B
419
420      vaddrModule.io.waddr(i) := stWbIndex
421      vaddrModule.io.wdata(i) := io.storeAddrIn(i).bits.vaddr
422      vaddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
423      vaddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
424      vaddrModule.io.wen(i) := true.B
425
426      debug_paddr(paddrModule.io.waddr(i)) := paddrModule.io.wdata(i)
427
428      // mmio(stWbIndex) := io.storeAddrIn(i).bits.mmio
429
430      uop(stWbIndex) := io.storeAddrIn(i).bits.uop
431      uop(stWbIndex).debugInfo := io.storeAddrIn(i).bits.uop.debugInfo
432
433      when (io.storeAddrIn(i).bits.usSecondInv) {
434        vec_secondInv(stWbIndex + 1.U) := true.B
435        // For vec commit or flush
436        uop(stWbIndex + 1.U).robIdx := uop(stWbIndex).robIdx
437        uop(stWbIndex + 1.U).uopIdx := uop(stWbIndex).uopIdx
438      }
439
440      exceptionBuffer.io.storeAddrIn(i).valid := !io.storeAddrIn(i).bits.isvec
441      exceptionBuffer.io.storeAddrIn(i).bits := io.storeAddrIn(i).bits
442
443      XSInfo("store addr write to sq idx %d pc 0x%x miss:%d vaddr %x paddr %x mmio %x isvec %x vec_secondInv %x\n",
444        io.storeAddrIn(i).bits.uop.sqIdx.value,
445        io.storeAddrIn(i).bits.uop.pc,
446        io.storeAddrIn(i).bits.miss,
447        io.storeAddrIn(i).bits.vaddr,
448        io.storeAddrIn(i).bits.paddr,
449        io.storeAddrIn(i).bits.mmio,
450        io.storeAddrIn(i).bits.isvec,
451        io.storeAddrIn(i).bits.usSecondInv
452      )
453    }
454
455    // re-replinish mmio, for pma/pmp will get mmio one cycle later
456    val storeAddrInFireReg = RegNext(io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss)
457    val stWbIndexReg = RegNext(stWbIndex)
458    when (storeAddrInFireReg) {
459      pending(stWbIndexReg) := io.storeAddrInRe(i).mmio
460      mmio(stWbIndexReg) := io.storeAddrInRe(i).mmio
461      atomic(stWbIndexReg) := io.storeAddrInRe(i).atomic
462    }
463    // dcache miss info (one cycle later than storeIn)
464    // if dcache report a miss in sta pipeline, this store will trigger a prefetch when committing to sbuffer (if EnableAtCommitMissTrigger)
465    when (storeAddrInFireReg) {
466      prefetch(stWbIndexReg) := io.storeAddrInRe(i).miss
467    }
468
469    when(vaddrModule.io.wen(i)){
470      debug_vaddr(vaddrModule.io.waddr(i)) := vaddrModule.io.wdata(i)
471    }
472  }
473
474  // Write data to sq
475  // Now store data pipeline is actually 2 stages
476  for (i <- 0 until StorePipelineWidth) {
477    dataModule.io.data.wen(i) := false.B
478    val stWbIndex = io.storeDataIn(i).bits.uop.sqIdx.value
479    // sq data write takes 2 cycles:
480    // sq data write s0
481    when (io.storeDataIn(i).fire) {
482      // send data write req to data module
483      dataModule.io.data.waddr(i) := stWbIndex
484      dataModule.io.data.wdata(i) := Mux(io.storeDataIn(i).bits.uop.fuOpType === LSUOpType.cbo_zero,
485        0.U,
486        genVWdata(io.storeDataIn(i).bits.data, io.storeDataIn(i).bits.uop.fuOpType(2,0))
487      )
488      dataModule.io.data.wen(i) := true.B
489
490      debug_data(dataModule.io.data.waddr(i)) := dataModule.io.data.wdata(i)
491
492      XSInfo("store data write to sq idx %d pc 0x%x data %x -> %x\n",
493        io.storeDataIn(i).bits.uop.sqIdx.value,
494        io.storeDataIn(i).bits.uop.pc,
495        io.storeDataIn(i).bits.data,
496        dataModule.io.data.wdata(i)
497      )
498    }
499    // sq data write s1
500    when (
501      RegNext(io.storeDataIn(i).fire)
502      // && !RegNext(io.storeDataIn(i).bits.uop).robIdx.needFlush(io.brqRedirect)
503    ) {
504      datavalid(RegNext(stWbIndex)) := true.B
505    }
506  }
507
508  // Write mask to sq
509  for (i <- 0 until StorePipelineWidth) {
510    // sq mask write s0
511    when (io.storeMaskIn(i).fire) {
512      // send data write req to data module
513      dataModule.io.mask.waddr(i) := io.storeMaskIn(i).bits.sqIdx.value
514      dataModule.io.mask.wdata(i) := io.storeMaskIn(i).bits.mask
515      dataModule.io.mask.wen(i) := true.B
516    }
517  }
518
519  /**
520    * load forward query
521    *
522    * Check store queue for instructions that is older than the load.
523    * The response will be valid at the next cycle after req.
524    */
525  // check over all lq entries and forward data from the first matched store
526  for (i <- 0 until LoadPipelineWidth) {
527    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
528    // (1) if they have the same flag, we need to check range(tail, sqIdx)
529    // (2) if they have different flags, we need to check range(tail, VirtualLoadQueueSize) and range(0, sqIdx)
530    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, VirtualLoadQueueSize))
531    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
532    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
533    val differentFlag = deqPtrExt(0).flag =/= io.forward(i).sqIdx.flag
534    val forwardMask = io.forward(i).sqIdxMask
535    // all addrvalid terms need to be checked
536    // Real Vaild: all scalar stores, and vector store with (!inactive && !secondInvalid)
537    val addrRealValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && allocated(j))))
538    // vector store will consider all inactive || secondInvalid flows as valid
539    val addrValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => (addrvalid(j) || vec_secondInv(j) || vec_mbCommit(j)) && allocated(j))))
540    val dataValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => datavalid(j) || vec_secondInv(j) || vec_mbCommit(j))))
541    val allValidVec  = WireInit(VecInit((0 until StoreQueueSize).map(j => ((addrvalid(j) && datavalid(j)) || vec_secondInv(j) || vec_mbCommit(j)) && allocated(j))))
542
543    val lfstEnable = Constantin.createRecord("LFSTEnable", LFSTEnable.B).orR
544    val storeSetHitVec = Mux(lfstEnable,
545      WireInit(VecInit((0 until StoreQueueSize).map(j => io.forward(i).uop.loadWaitBit && uop(j).robIdx === io.forward(i).uop.waitForRobIdx))),
546      WireInit(VecInit((0 until StoreQueueSize).map(j => uop(j).storeSetHit && uop(j).ssid === io.forward(i).uop.ssid)))
547    )
548
549    val forwardMask1 = Mux(differentFlag, ~deqMask, deqMask ^ forwardMask)
550    val forwardMask2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W))
551    val canForward1 = forwardMask1 & allValidVec.asUInt
552    val canForward2 = forwardMask2 & allValidVec.asUInt
553    val needForward = Mux(differentFlag, ~deqMask | forwardMask, deqMask ^ forwardMask)
554
555    XSDebug(p"$i f1 ${Binary(canForward1)} f2 ${Binary(canForward2)} " +
556      p"sqIdx ${io.forward(i).sqIdx} pa ${Hexadecimal(io.forward(i).paddr)}\n"
557    )
558
559    // do real fwd query (cam lookup in load_s1)
560    dataModule.io.needForward(i)(0) := canForward1 & vaddrModule.io.forwardMmask(i).asUInt
561    dataModule.io.needForward(i)(1) := canForward2 & vaddrModule.io.forwardMmask(i).asUInt
562
563    vaddrModule.io.forwardMdata(i) := io.forward(i).vaddr
564    vaddrModule.io.forwardDataMask(i) := io.forward(i).mask
565    paddrModule.io.forwardMdata(i) := io.forward(i).paddr
566    paddrModule.io.forwardDataMask(i) := io.forward(i).mask
567
568
569    // vaddr cam result does not equal to paddr cam result
570    // replay needed
571    // val vpmaskNotEqual = ((paddrModule.io.forwardMmask(i).asUInt ^ vaddrModule.io.forwardMmask(i).asUInt) & needForward) =/= 0.U
572    // val vaddrMatchFailed = vpmaskNotEqual && io.forward(i).valid
573    val vpmaskNotEqual = (
574      (RegNext(paddrModule.io.forwardMmask(i).asUInt) ^ RegNext(vaddrModule.io.forwardMmask(i).asUInt)) &
575      RegNext(needForward) &
576      RegNext(addrRealValidVec.asUInt)
577    ) =/= 0.U
578    val vaddrMatchFailed = vpmaskNotEqual && RegNext(io.forward(i).valid)
579    when (vaddrMatchFailed) {
580      XSInfo("vaddrMatchFailed: pc %x pmask %x vmask %x\n",
581        RegNext(io.forward(i).uop.pc),
582        RegNext(needForward & paddrModule.io.forwardMmask(i).asUInt),
583        RegNext(needForward & vaddrModule.io.forwardMmask(i).asUInt)
584      );
585    }
586    XSPerfAccumulate("vaddr_match_failed", vpmaskNotEqual)
587    XSPerfAccumulate("vaddr_match_really_failed", vaddrMatchFailed)
588
589    // Fast forward mask will be generated immediately (load_s1)
590    io.forward(i).forwardMaskFast := dataModule.io.forwardMaskFast(i)
591
592    // Forward result will be generated 1 cycle later (load_s2)
593    io.forward(i).forwardMask := dataModule.io.forwardMask(i)
594    io.forward(i).forwardData := dataModule.io.forwardData(i)
595    // If addr match, data not ready, mark it as dataInvalid
596    // load_s1: generate dataInvalid in load_s1 to set fastUop
597    val dataInvalidMask1 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask1.asUInt)
598    val dataInvalidMask2 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask2.asUInt)
599    val dataInvalidMask = dataInvalidMask1 | dataInvalidMask2
600    io.forward(i).dataInvalidFast := dataInvalidMask.orR
601
602    // make chisel happy
603    val dataInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
604    dataInvalidMask1Reg := RegNext(dataInvalidMask1)
605    // make chisel happy
606    val dataInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
607    dataInvalidMask2Reg := RegNext(dataInvalidMask2)
608    val dataInvalidMaskReg = dataInvalidMask1Reg | dataInvalidMask2Reg
609
610    // If SSID match, address not ready, mark it as addrInvalid
611    // load_s2: generate addrInvalid
612    val addrInvalidMask1 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask1.asUInt)
613    val addrInvalidMask2 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask2.asUInt)
614    // make chisel happy
615    val addrInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
616    addrInvalidMask1Reg := RegNext(addrInvalidMask1)
617    // make chisel happy
618    val addrInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
619    addrInvalidMask2Reg := RegNext(addrInvalidMask2)
620    val addrInvalidMaskReg = addrInvalidMask1Reg | addrInvalidMask2Reg
621
622    // load_s2
623    io.forward(i).dataInvalid := RegNext(io.forward(i).dataInvalidFast)
624    // check if vaddr forward mismatched
625    io.forward(i).matchInvalid := vaddrMatchFailed
626
627    // data invalid sq index
628    // check whether false fail
629    // check flag
630    val s2_differentFlag = RegNext(differentFlag)
631    val s2_enqPtrExt = RegNext(enqPtrExt(0))
632    val s2_deqPtrExt = RegNext(deqPtrExt(0))
633
634    // addr invalid sq index
635    // make chisel happy
636    val addrInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
637    addrInvalidMaskRegWire := addrInvalidMaskReg
638    val addrInvalidFlag = addrInvalidMaskRegWire.orR
639    val hasInvalidAddr = (~addrValidVec.asUInt & needForward).orR
640
641    val addrInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask1Reg))))
642    val addrInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask2Reg))))
643    val addrInvalidSqIdx = Mux(addrInvalidMask2Reg.orR, addrInvalidSqIdx2, addrInvalidSqIdx1)
644
645    // store-set content management
646    //                +-----------------------+
647    //                | Search a SSID for the |
648    //                |    load operation     |
649    //                +-----------------------+
650    //                           |
651    //                           V
652    //                 +-------------------+
653    //                 | load wait strict? |
654    //                 +-------------------+
655    //                           |
656    //                           V
657    //               +----------------------+
658    //            Set|                      |Clean
659    //               V                      V
660    //  +------------------------+   +------------------------------+
661    //  | Waiting for all older  |   | Wait until the corresponding |
662    //  |   stores operations    |   | older store operations       |
663    //  +------------------------+   +------------------------------+
664
665
666
667    when (RegNext(io.forward(i).uop.loadWaitStrict)) {
668      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx - 1.U)
669    } .elsewhen (addrInvalidFlag) {
670      io.forward(i).addrInvalidSqIdx.flag := Mux(!s2_differentFlag || addrInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
671      io.forward(i).addrInvalidSqIdx.value := addrInvalidSqIdx
672    } .otherwise {
673      // may be store inst has been written to sbuffer already.
674      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
675    }
676    io.forward(i).addrInvalid := Mux(RegNext(io.forward(i).uop.loadWaitStrict), RegNext(hasInvalidAddr), addrInvalidFlag)
677
678    // data invalid sq index
679    // make chisel happy
680    val dataInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
681    dataInvalidMaskRegWire := dataInvalidMaskReg
682    val dataInvalidFlag = dataInvalidMaskRegWire.orR
683
684    val dataInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask1Reg))))
685    val dataInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask2Reg))))
686    val dataInvalidSqIdx = Mux(dataInvalidMask2Reg.orR, dataInvalidSqIdx2, dataInvalidSqIdx1)
687
688    when (dataInvalidFlag) {
689      io.forward(i).dataInvalidSqIdx.flag := Mux(!s2_differentFlag || dataInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
690      io.forward(i).dataInvalidSqIdx.value := dataInvalidSqIdx
691    } .otherwise {
692      // may be store inst has been written to sbuffer already.
693      io.forward(i).dataInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
694    }
695  }
696
697  /**
698    * Memory mapped IO / other uncached operations
699    *
700    * States:
701    * (1) writeback from store units: mark as pending
702    * (2) when they reach ROB's head, they can be sent to uncache channel
703    * (3) response from uncache channel: mark as datavalidmask.wen
704    * (4) writeback to ROB (and other units): mark as writebacked
705    * (5) ROB commits the instruction: same as normal instructions
706    */
707  //(2) when they reach ROB's head, they can be sent to uncache channel
708  // TODO: How to deal with vector store unit-stride 128 bits mmio reqs?
709  val s_idle :: s_req :: s_resp :: s_wb :: s_wait :: Nil = Enum(5)
710  val uncacheState = RegInit(s_idle)
711  switch(uncacheState) {
712    is(s_idle) {
713      when(RegNext(io.rob.pendingst && pending(deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && addrvalid(deqPtr))) {
714        uncacheState := s_req
715      }
716    }
717    is(s_req) {
718      when (io.uncache.req.fire) {
719        when (io.uncacheOutstanding) {
720          uncacheState := s_wb
721        } .otherwise {
722          uncacheState := s_resp
723        }
724      }
725    }
726    is(s_resp) {
727      when(io.uncache.resp.fire) {
728        uncacheState := s_wb
729      }
730    }
731    is(s_wb) {
732      when (io.mmioStout.fire || io.vecmmioStout.fire) {
733        uncacheState := s_wait
734      }
735    }
736    is(s_wait) {
737      when(commitCount > 0.U) {
738        uncacheState := s_idle // ready for next mmio
739      }
740    }
741  }
742  io.uncache.req.valid := uncacheState === s_req
743
744  io.uncache.req.bits := DontCare
745  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
746  io.uncache.req.bits.addr := paddrModule.io.rdata(0) // data(deqPtr) -> rdata(0)
747  io.uncache.req.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data)
748  io.uncache.req.bits.mask := shiftMaskToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).mask)
749
750  // CBO op type check can be delayed for 1 cycle,
751  // as uncache op will not start in s_idle
752  val cbo_mmio_addr = paddrModule.io.rdata(0) >> 2 << 2 // clear lowest 2 bits for op
753  val cbo_mmio_op = 0.U //TODO
754  val cbo_mmio_data = cbo_mmio_addr | cbo_mmio_op
755  when(RegNext(LSUOpType.isCbo(uop(deqPtr).fuOpType))){
756    io.uncache.req.bits.addr := DontCare // TODO
757    io.uncache.req.bits.data := paddrModule.io.rdata(0)
758    io.uncache.req.bits.mask := DontCare // TODO
759  }
760
761  io.uncache.req.bits.atomic := atomic(RegNext(rdataPtrExtNext(0)).value)
762
763  when(io.uncache.req.fire){
764    // mmio store should not be committed until uncache req is sent
765    pending(deqPtr) := false.B
766
767    XSDebug(
768      p"uncache req: pc ${Hexadecimal(uop(deqPtr).pc)} " +
769      p"addr ${Hexadecimal(io.uncache.req.bits.addr)} " +
770      p"data ${Hexadecimal(io.uncache.req.bits.data)} " +
771      p"op ${Hexadecimal(io.uncache.req.bits.cmd)} " +
772      p"mask ${Hexadecimal(io.uncache.req.bits.mask)}\n"
773    )
774  }
775
776  // (3) response from uncache channel: mark as datavalid
777  io.uncache.resp.ready := true.B
778
779  // (4) scalar store: writeback to ROB (and other units): mark as writebacked
780  io.mmioStout.valid := uncacheState === s_wb && !is_vec(deqPtr)
781  io.mmioStout.bits.uop := uop(deqPtr)
782  io.mmioStout.bits.uop.sqIdx := deqPtrExt(0)
783  io.mmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
784  io.mmioStout.bits.debug.isMMIO := true.B
785  io.mmioStout.bits.debug.paddr := DontCare
786  io.mmioStout.bits.debug.isPerfCnt := false.B
787  io.mmioStout.bits.debug.vaddr := DontCare
788  // Remove MMIO inst from store queue after MMIO request is being sent
789  // That inst will be traced by uncache state machine
790  when (io.mmioStout.fire) {
791    allocated(deqPtr) := false.B
792  }
793
794  // (4) or vector store:
795  io.vecmmioStout.valid := uncacheState === s_wb && is_vec(deqPtr)
796  io.vecmmioStout.bits.uop := uop(deqPtr)
797  io.vecmmioStout.bits.uop.sqIdx := deqPtrExt(0)
798  io.vecmmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
799  io.vecmmioStout.bits.debug.isMMIO := true.B
800  io.vecmmioStout.bits.debug.paddr := DontCare
801  io.vecmmioStout.bits.debug.isPerfCnt := false.B
802  io.vecmmioStout.bits.debug.vaddr := DontCare
803  // Remove MMIO inst from store queue after MMIO request is being sent
804  // That inst will be traced by uncache state machine
805  when (io.vecmmioStout.fire) {
806    allocated(deqPtr) := false.B
807  }
808
809  /**
810    * ROB commits store instructions (mark them as committed)
811    *
812    * (1) When store commits, mark it as committed.
813    * (2) They will not be cancelled and can be sent to lower level.
814    */
815  XSError(uncacheState =/= s_idle && uncacheState =/= s_wait && commitCount > 0.U,
816   "should not commit instruction when MMIO has not been finished\n")
817
818  val scalarcommitVec = WireInit(VecInit(Seq.fill(CommitWidth)(false.B)))
819  val veccommitVec = WireInit(VecInit(Seq.fill(CommitWidth)(false.B)))
820  // TODO: Deal with vector store mmio
821  for (i <- 0 until CommitWidth) {
822    val veccount = PopCount(veccommitVec.take(i))
823    when (is_vec(cmtPtrExt(i).value) && isNotAfter(uop(cmtPtrExt(i).value).robIdx, io.robHead) && vec_mbCommit(cmtPtrExt(i).value)) {
824      if (i == 0){
825        // TODO: fixme for mmio
826        when (uncacheState === s_idle){
827          committed(cmtPtrExt(0).value) := true.B
828          veccommitVec(i) := true.B
829        }
830      } else {
831        committed(cmtPtrExt(i).value) := true.B
832        veccommitVec(i) := true.B
833      }
834    } .elsewhen (scalarCommitCount > i.U - veccount) {
835      if (i == 0){
836        when (uncacheState === s_idle){
837          committed(cmtPtrExt(0).value) := true.B
838          scalarcommitVec(i) := true.B
839        }
840      } else {
841        committed(cmtPtrExt(i).value) := true.B
842        scalarcommitVec(i) := true.B
843      }
844    }
845  }
846
847  scalarCommitted := PopCount(scalarcommitVec)
848  vecCommitted := PopCount(veccommitVec)
849  commitCount := scalarCommitted + vecCommitted
850
851  cmtPtrExt := cmtPtrExt.map(_ + RegNext(PopCount(veccommitVec)) + RegNext(scalarCommitted))
852
853  // committed stores will not be cancelled and can be sent to lower level.
854  // remove retired insts from sq, add retired store to sbuffer
855
856  // Read data from data module
857  // As store queue grows larger and larger, time needed to read data from data
858  // module keeps growing higher. Now we give data read a whole cycle.
859  val mmioStall = mmio(rdataPtrExt(0).value)
860  for (i <- 0 until EnsbufferWidth) {
861    val ptr = rdataPtrExt(i).value
862    dataBuffer.io.enq(i).valid := allocated(ptr) && committed(ptr) && !mmioStall
863    // Note that store data/addr should both be valid after store's commit
864    assert(!dataBuffer.io.enq(i).valid || allvalid(ptr) || (allocated(ptr) && vec_mbCommit(ptr)))
865    dataBuffer.io.enq(i).bits.addr     := paddrModule.io.rdata(i)
866    dataBuffer.io.enq(i).bits.vaddr    := vaddrModule.io.rdata(i)
867    dataBuffer.io.enq(i).bits.data     := dataModule.io.rdata(i).data
868    dataBuffer.io.enq(i).bits.mask     := dataModule.io.rdata(i).mask
869    dataBuffer.io.enq(i).bits.wline    := paddrModule.io.rlineflag(i)
870    dataBuffer.io.enq(i).bits.sqPtr    := rdataPtrExt(i)
871    dataBuffer.io.enq(i).bits.prefetch := prefetch(ptr)
872    dataBuffer.io.enq(i).bits.vec_mbCommit := vec_mbCommit(ptr)
873  }
874
875  // Send data stored in sbufferReqBitsReg to sbuffer
876  for (i <- 0 until EnsbufferWidth) {
877    io.sbuffer(i).valid := dataBuffer.io.deq(i).valid && !dataBuffer.io.deq(i).bits.vec_mbCommit
878    dataBuffer.io.deq(i).ready := io.sbuffer(i).ready
879    // Write line request should have all 1 mask
880    assert(!(io.sbuffer(i).valid && io.sbuffer(i).bits.wline && !io.sbuffer(i).bits.mask.andR))
881    io.sbuffer(i).bits := DontCare
882    io.sbuffer(i).bits.cmd   := MemoryOpConstants.M_XWR
883    io.sbuffer(i).bits.addr  := dataBuffer.io.deq(i).bits.addr
884    io.sbuffer(i).bits.vaddr := dataBuffer.io.deq(i).bits.vaddr
885    io.sbuffer(i).bits.data  := dataBuffer.io.deq(i).bits.data
886    io.sbuffer(i).bits.mask  := dataBuffer.io.deq(i).bits.mask
887    io.sbuffer(i).bits.wline := dataBuffer.io.deq(i).bits.wline
888    io.sbuffer(i).bits.prefetch := dataBuffer.io.deq(i).bits.prefetch
889    sbuffer_Inv(i) := dataBuffer.io.deq(i).valid && dataBuffer.io.deq(i).bits.vec_mbCommit
890
891    // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
892    // Before data write finish, sbuffer is unable to provide store to load
893    // forward data. As an workaround, deqPtrExt and allocated flag update
894    // is delayed so that load can get the right data from store queue.
895    val ptr = dataBuffer.io.deq(i).bits.sqPtr.value
896    when (RegNext(io.sbuffer(i).fire) || sbuffer_Inv(i)) {
897      allocated(RegEnable(ptr, io.sbuffer(i).fire)) := false.B
898      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
899    }
900  }
901  (1 until EnsbufferWidth).foreach(i => when(io.sbuffer(i).fire) { assert(io.sbuffer(i - 1).fire) })
902  if (coreParams.dcacheParametersOpt.isEmpty) {
903    for (i <- 0 until EnsbufferWidth) {
904      val ptr = deqPtrExt(i).value
905      val ram = DifftestMem(64L * 1024 * 1024 * 1024, 8)
906      val wen = allocated(ptr) && committed(ptr) && !mmio(ptr)
907      val waddr = ((paddrModule.io.rdata(i) - "h80000000".U) >> 3).asUInt
908      val wdata = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).data(127, 64), dataModule.io.rdata(i).data(63, 0))
909      val wmask = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).mask(15, 8), dataModule.io.rdata(i).mask(7, 0))
910      when (wen) {
911        ram.write(waddr, wdata.asTypeOf(Vec(8, UInt(8.W))), wmask.asBools)
912      }
913    }
914  }
915
916  // Read vaddr for mem exception
917  io.exceptionAddr.vaddr := exceptionBuffer.io.exceptionAddr.vaddr
918
919  // vector commit or replay from
920  val vecCommit = Wire(Vec(StoreQueueSize, Bool()))
921  for (i <- 0 until StoreQueueSize) {
922    val fbk = io.vecFeedback
923    vecCommit(i) := fbk.valid && fbk.bits.isCommit && uop(i).robIdx === fbk.bits.robidx && uop(i).uopIdx === fbk.bits.uopidx
924    when (vecCommit(i)) {
925      vec_mbCommit(i) := true.B
926    }
927  }
928
929  // misprediction recovery / exception redirect
930  // invalidate sq term using robIdx
931  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
932  for (i <- 0 until StoreQueueSize) {
933    needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) && !committed(i)
934    when (needCancel(i)) {
935      allocated(i) := false.B
936    }
937  }
938
939 /**
940* update pointers
941**/
942  val lastEnqCancel = PopCount(RegNext(VecInit(canEnqueue.zip(enqCancel).map(x => x._1 && x._2)))) // 1 cycle after redirect
943  val lastCycleCancelCount = PopCount(RegNext(needCancel)) // 1 cycle after redirect
944  val lastCycleRedirect = RegNext(io.brqRedirect.valid) // 1 cycle after redirect
945  val enqNumber = validVStoreFlow.reduce(_ + _)
946
947  val lastlastCycleRedirect=RegNext(lastCycleRedirect)// 2 cycle after redirect
948  val redirectCancelCount = RegEnable(lastCycleCancelCount + lastEnqCancel, lastCycleRedirect) // 2 cycle after redirect
949
950  when (lastlastCycleRedirect) {
951    // we recover the pointers in 2 cycle after redirect for better timing
952    enqPtrExt := VecInit(enqPtrExt.map(_ - redirectCancelCount))
953  }.otherwise {
954    // lastCycleRedirect.valid or nornal case
955    // when lastCycleRedirect.valid, enqNumber === 0.U, enqPtrExt will not change
956    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
957  }
958  assert(!(lastCycleRedirect && enqNumber =/= 0.U))
959
960  deqPtrExt := deqPtrExtNext
961  rdataPtrExt := rdataPtrExtNext
962
963  // val dequeueCount = Mux(io.sbuffer(1).fire, 2.U, Mux(io.sbuffer(0).fire || io.mmioStout.fire, 1.U, 0.U))
964
965  // If redirect at T0, sqCancelCnt is at T2
966  io.sqCancelCnt := redirectCancelCount
967  val ForceWriteUpper = Wire(UInt(log2Up(StoreQueueSize + 1).W))
968  ForceWriteUpper := Constantin.createRecord("ForceWriteUpper_"+p(XSCoreParamsKey).HartId.toString(), initValue = 60.U)
969  val ForceWriteLower = Wire(UInt(log2Up(StoreQueueSize + 1).W))
970  ForceWriteLower := Constantin.createRecord("ForceWriteLower_"+p(XSCoreParamsKey).HartId.toString(), initValue = 55.U)
971
972  val valid_cnt = PopCount(allocated)
973  io.force_write := RegNext(Mux(valid_cnt >= ForceWriteUpper, true.B, valid_cnt >= ForceWriteLower && io.force_write), init = false.B)
974
975  // io.sqempty will be used by sbuffer
976  // We delay it for 1 cycle for better timing
977  // When sbuffer need to check if it is empty, the pipeline is blocked, which means delay io.sqempty
978  // for 1 cycle will also promise that sq is empty in that cycle
979  io.sqEmpty := RegNext(
980    enqPtrExt(0).value === deqPtrExt(0).value &&
981    enqPtrExt(0).flag === deqPtrExt(0).flag
982  )
983  // perf counter
984  QueuePerf(StoreQueueSize, validCount, !allowEnqueue)
985  io.sqFull := !allowEnqueue
986  XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req
987  XSPerfAccumulate("mmioCnt", io.uncache.req.fire)
988  XSPerfAccumulate("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire)
989  XSPerfAccumulate("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready))
990  XSPerfAccumulate("validEntryCnt", distanceBetween(enqPtrExt(0), deqPtrExt(0)))
991  XSPerfAccumulate("cmtEntryCnt", distanceBetween(cmtPtrExt(0), deqPtrExt(0)))
992  XSPerfAccumulate("nCmtEntryCnt", distanceBetween(enqPtrExt(0), cmtPtrExt(0)))
993
994  val perfValidCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
995  val perfEvents = Seq(
996    ("mmioCycle      ", uncacheState =/= s_idle),
997    ("mmioCnt        ", io.uncache.req.fire),
998    ("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire),
999    ("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready)),
1000    ("stq_1_4_valid  ", (perfValidCount < (StoreQueueSize.U/4.U))),
1001    ("stq_2_4_valid  ", (perfValidCount > (StoreQueueSize.U/4.U)) & (perfValidCount <= (StoreQueueSize.U/2.U))),
1002    ("stq_3_4_valid  ", (perfValidCount > (StoreQueueSize.U/2.U)) & (perfValidCount <= (StoreQueueSize.U*3.U/4.U))),
1003    ("stq_4_4_valid  ", (perfValidCount > (StoreQueueSize.U*3.U/4.U))),
1004  )
1005  generatePerfEvent()
1006
1007  // debug info
1008  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt(0).flag, deqPtr)
1009
1010  def PrintFlag(flag: Bool, name: String): Unit = {
1011    when(flag) {
1012      XSDebug(false, true.B, name)
1013    }.otherwise {
1014      XSDebug(false, true.B, " ")
1015    }
1016  }
1017
1018  for (i <- 0 until StoreQueueSize) {
1019    XSDebug(i + ": pc %x va %x pa %x data %x ",
1020      uop(i).pc,
1021      debug_vaddr(i),
1022      debug_paddr(i),
1023      debug_data(i)
1024    )
1025    PrintFlag(allocated(i), "a")
1026    PrintFlag(allocated(i) && addrvalid(i), "a")
1027    PrintFlag(allocated(i) && datavalid(i), "d")
1028    PrintFlag(allocated(i) && committed(i), "c")
1029    PrintFlag(allocated(i) && pending(i), "p")
1030    PrintFlag(allocated(i) && mmio(i), "m")
1031    PrintFlag(allocated(i) && vec_secondInv(i), "s")
1032    XSDebug(false, true.B, "\n")
1033  }
1034
1035}
1036