xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/StoreQueue.scala (revision 0d87eaa271e7523523a8c4aaba46c8b769aeff8e)
1/***************************************************************************************
2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4* Copyright (c) 2020-2021 Peng Cheng Laboratory
5*
6* XiangShan is licensed under Mulan PSL v2.
7* You can use this software according to the terms and conditions of the Mulan PSL v2.
8* You may obtain a copy of Mulan PSL v2 at:
9*          http://license.coscl.org.cn/MulanPSL2
10*
11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
14*
15* See the Mulan PSL v2 for more details.
16***************************************************************************************/
17
18package xiangshan.mem
19
20import chisel3._
21import chisel3.util._
22import difftest._
23import difftest.common.DifftestMem
24import org.chipsalliance.cde.config.Parameters
25import utility._
26import utils._
27import xiangshan._
28import xiangshan.cache._
29import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants}
30import xiangshan.backend._
31import xiangshan.backend.rob.{RobLsqIO, RobPtr}
32import xiangshan.backend.Bundles.{DynInst, MemExuOutput}
33import xiangshan.backend.decode.isa.bitfield.{Riscv32BitInst, XSInstBitFields}
34import xiangshan.backend.fu.FuConfig._
35import xiangshan.backend.fu.FuType
36import xiangshan.ExceptionNO._
37import coupledL2.{CMOReq, CMOResp}
38
39class SqPtr(implicit p: Parameters) extends CircularQueuePtr[SqPtr](
40  p => p(XSCoreParamsKey).StoreQueueSize
41){
42}
43
44object SqPtr {
45  def apply(f: Bool, v: UInt)(implicit p: Parameters): SqPtr = {
46    val ptr = Wire(new SqPtr)
47    ptr.flag := f
48    ptr.value := v
49    ptr
50  }
51}
52
53class SqEnqIO(implicit p: Parameters) extends MemBlockBundle {
54  val canAccept = Output(Bool())
55  val lqCanAccept = Input(Bool())
56  val needAlloc = Vec(LSQEnqWidth, Input(Bool()))
57  val req = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst)))
58  val resp = Vec(LSQEnqWidth, Output(new SqPtr))
59}
60
61class DataBufferEntry (implicit p: Parameters)  extends DCacheBundle {
62  val addr   = UInt(PAddrBits.W)
63  val vaddr  = UInt(VAddrBits.W)
64  val data   = UInt(VLEN.W)
65  val mask   = UInt((VLEN/8).W)
66  val wline = Bool()
67  val sqPtr  = new SqPtr
68  val prefetch = Bool()
69  val vecValid = Bool()
70}
71
72class StoreExceptionBuffer(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
73  // The 1st StorePipelineWidth ports: sta exception generated at s1, except for af
74  // The 2nd StorePipelineWidth ports: sta af generated at s2
75  // The following VecStorePipelineWidth ports: vector st exception
76  // The last port: non-data error generated in SoC
77  val enqPortNum = StorePipelineWidth * 2 + VecStorePipelineWidth + 1
78
79  val io = IO(new Bundle() {
80    val redirect = Flipped(ValidIO(new Redirect))
81    val storeAddrIn = Vec(enqPortNum, Flipped(ValidIO(new LsPipelineBundle())))
82    val flushFrmMaBuf = Input(Bool())
83    val exceptionAddr = new ExceptionAddrIO
84  })
85
86  val req_valid = RegInit(false.B)
87  val req = Reg(new LsPipelineBundle())
88
89  // enqueue
90  // S1:
91  val s1_req = VecInit(io.storeAddrIn.map(_.bits))
92  val s1_valid = VecInit(io.storeAddrIn.map(x =>
93      x.valid && !x.bits.uop.robIdx.needFlush(io.redirect) && ExceptionNO.selectByFu(x.bits.uop.exceptionVec, StaCfg).asUInt.orR
94  ))
95
96  // S2: delay 1 cycle
97  val s2_req = (0 until enqPortNum).map(i =>
98    RegEnable(s1_req(i), s1_valid(i)))
99  val s2_valid = (0 until enqPortNum).map(i =>
100    RegNext(s1_valid(i)) && !s2_req(i).uop.robIdx.needFlush(io.redirect)
101  )
102
103  val s2_enqueue = Wire(Vec(enqPortNum, Bool()))
104  for (w <- 0 until enqPortNum) {
105    s2_enqueue(w) := s2_valid(w)
106  }
107
108  when (req_valid && req.uop.robIdx.needFlush(io.redirect)) {
109    req_valid := s2_enqueue.asUInt.orR
110  }.elsewhen (s2_enqueue.asUInt.orR) {
111    req_valid := req_valid || true.B
112  }
113
114  def selectOldest[T <: LsPipelineBundle](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = {
115    assert(valid.length == bits.length)
116    if (valid.length == 0 || valid.length == 1) {
117      (valid, bits)
118    } else if (valid.length == 2) {
119      val res = Seq.fill(2)(Wire(Valid(chiselTypeOf(bits(0)))))
120      for (i <- res.indices) {
121        res(i).valid := valid(i)
122        res(i).bits := bits(i)
123      }
124      val oldest = Mux(valid(0) && valid(1),
125        Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx) ||
126          (isNotBefore(bits(0).uop.robIdx, bits(1).uop.robIdx) && bits(0).uop.uopIdx > bits(1).uop.uopIdx), res(1), res(0)),
127        Mux(valid(0) && !valid(1), res(0), res(1)))
128      (Seq(oldest.valid), Seq(oldest.bits))
129    } else {
130      val left = selectOldest(valid.take(valid.length / 2), bits.take(bits.length / 2))
131      val right = selectOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2)))
132      selectOldest(left._1 ++ right._1, left._2 ++ right._2)
133    }
134  }
135
136  val reqSel = selectOldest(s2_enqueue, s2_req)
137
138  when (req_valid) {
139    req := Mux(
140      reqSel._1(0) && (isAfter(req.uop.robIdx, reqSel._2(0).uop.robIdx) || (isNotBefore(req.uop.robIdx, reqSel._2(0).uop.robIdx) && req.uop.uopIdx > reqSel._2(0).uop.uopIdx)),
141      reqSel._2(0),
142      req)
143  } .elsewhen (s2_enqueue.asUInt.orR) {
144    req := reqSel._2(0)
145  }
146
147  io.exceptionAddr.vaddr     := req.fullva
148  io.exceptionAddr.vaNeedExt := req.vaNeedExt
149  io.exceptionAddr.isHyper   := req.isHyper
150  io.exceptionAddr.gpaddr    := req.gpaddr
151  io.exceptionAddr.vstart    := req.uop.vpu.vstart
152  io.exceptionAddr.vl        := req.uop.vpu.vl
153  io.exceptionAddr.isForVSnonLeafPTE := req.isForVSnonLeafPTE
154
155  when(req_valid && io.flushFrmMaBuf) {
156    req_valid := false.B
157  }
158}
159
160// Store Queue
161class StoreQueue(implicit p: Parameters) extends XSModule
162  with HasDCacheParameters
163  with HasCircularQueuePtrHelper
164  with HasPerfEvents
165  with HasVLSUParameters {
166  val io = IO(new Bundle() {
167    val hartId = Input(UInt(hartIdLen.W))
168    val enq = new SqEnqIO
169    val brqRedirect = Flipped(ValidIO(new Redirect))
170    val vecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO)))
171    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // store addr, data is not included
172    val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // store more mmio and exception
173    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true)))) // store data, send to sq from rs
174    val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // store mask, send to sq from rs
175    val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) // write committed store to sbuffer
176    val sbufferVecDifftestInfo = Vec(EnsbufferWidth, Decoupled(new DynInst)) // The vector store difftest needs is, write committed store to sbuffer
177    val uncacheOutstanding = Input(Bool())
178    val cmoOpReq  = DecoupledIO(new CMOReq)
179    val cmoOpResp = Flipped(DecoupledIO(new CMOResp))
180    val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store
181    val vecmmioStout = DecoupledIO(new MemExuOutput(isVector = true))
182    val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO))
183    // TODO: scommit is only for scalar store
184    val rob = Flipped(new RobLsqIO)
185    val uncache = new UncacheWordIO
186    // val refill = Flipped(Valid(new DCacheLineReq ))
187    val exceptionAddr = new ExceptionAddrIO
188    val flushSbuffer = new SbufferFlushBundle
189    val sqEmpty = Output(Bool())
190    val stAddrReadySqPtr = Output(new SqPtr)
191    val stAddrReadyVec = Output(Vec(StoreQueueSize, Bool()))
192    val stDataReadySqPtr = Output(new SqPtr)
193    val stDataReadyVec = Output(Vec(StoreQueueSize, Bool()))
194    val stIssuePtr = Output(new SqPtr)
195    val sqDeqPtr = Output(new SqPtr)
196    val sqFull = Output(Bool())
197    val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W))
198    val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W))
199    val force_write = Output(Bool())
200    val maControl   = Flipped(new StoreMaBufToSqControlIO)
201  })
202
203  println("StoreQueue: size:" + StoreQueueSize)
204
205  // data modules
206  val uop = Reg(Vec(StoreQueueSize, new DynInst))
207  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
208  val dataModule = Module(new SQDataModule(
209    numEntries = StoreQueueSize,
210    numRead = EnsbufferWidth,
211    numWrite = StorePipelineWidth,
212    numForward = LoadPipelineWidth
213  ))
214  dataModule.io := DontCare
215  val paddrModule = Module(new SQAddrModule(
216    dataWidth = PAddrBits,
217    numEntries = StoreQueueSize,
218    numRead = EnsbufferWidth,
219    numWrite = StorePipelineWidth,
220    numForward = LoadPipelineWidth
221  ))
222  paddrModule.io := DontCare
223  val vaddrModule = Module(new SQAddrModule(
224    dataWidth = VAddrBits,
225    numEntries = StoreQueueSize,
226    numRead = EnsbufferWidth, // sbuffer; badvaddr will be sent from exceptionBuffer
227    numWrite = StorePipelineWidth,
228    numForward = LoadPipelineWidth
229  ))
230  vaddrModule.io := DontCare
231  val dataBuffer = Module(new DatamoduleResultBuffer(new DataBufferEntry))
232  val difftestBuffer = if (env.EnableDifftest) Some(Module(new DatamoduleResultBuffer(new DynInst))) else None
233  val exceptionBuffer = Module(new StoreExceptionBuffer)
234  exceptionBuffer.io.redirect := io.brqRedirect
235  exceptionBuffer.io.exceptionAddr.isStore := DontCare
236  // vlsu exception!
237  for (i <- 0 until VecStorePipelineWidth) {
238    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).valid               := io.vecFeedback(i).valid && io.vecFeedback(i).bits.feedback(VecFeedbacks.FLUSH) // have exception
239    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits                := DontCare
240    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.fullva         := io.vecFeedback(i).bits.vaddr
241    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.vaNeedExt      := io.vecFeedback(i).bits.vaNeedExt
242    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.gpaddr         := io.vecFeedback(i).bits.gpaddr
243    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.uopIdx     := io.vecFeedback(i).bits.uopidx
244    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.robIdx     := io.vecFeedback(i).bits.robidx
245    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.vpu.vstart := io.vecFeedback(i).bits.vstart
246    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.vpu.vl     := io.vecFeedback(i).bits.vl
247    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.isForVSnonLeafPTE := io.vecFeedback(i).bits.isForVSnonLeafPTE
248    exceptionBuffer.io.storeAddrIn(StorePipelineWidth * 2 + i).bits.uop.exceptionVec  := io.vecFeedback(i).bits.exceptionVec
249  }
250
251
252  val debug_paddr = Reg(Vec(StoreQueueSize, UInt((PAddrBits).W)))
253  val debug_vaddr = Reg(Vec(StoreQueueSize, UInt((VAddrBits).W)))
254  val debug_data = Reg(Vec(StoreQueueSize, UInt((XLEN).W)))
255
256  // state & misc
257  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
258  val addrvalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio addr is valid
259  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
260  val allvalid  = VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i))) // non-mmio data & addr is valid
261  val committed = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // inst has been committed by rob
262  val unaligned = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // unaligned store
263  val pending = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob
264  val mmio = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // mmio: inst is an mmio inst
265  val atomic = RegInit(VecInit(List.fill(StoreQueueSize)(false.B)))
266  val prefetch = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // need prefetch when committing this store to sbuffer?
267  val isVec = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store instruction
268  val vecLastFlow = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // last uop the last flow of vector store instruction
269  val vecMbCommit = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store committed from merge buffer to rob
270  val vecDataValid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // vector store need write to sbuffer
271  val hasException = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // store has exception, should deq but not write sbuffer
272  val waitStoreS2 = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // wait for mmio and exception result until store_s2
273  // val vec_robCommit = Reg(Vec(StoreQueueSize, Bool())) // vector store committed by rob
274  // val vec_secondInv = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // Vector unit-stride, second entry is invalid
275  val vecExceptionFlag = RegInit(0.U.asTypeOf(Valid(new DynInst)))
276
277  // ptr
278  val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new SqPtr))))
279  val rdataPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
280  val deqPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
281  val cmtPtrExt = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new SqPtr))))
282  val addrReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
283  val dataReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
284
285  val enqPtr = enqPtrExt(0).value
286  val deqPtr = deqPtrExt(0).value
287  val cmtPtr = cmtPtrExt(0).value
288
289  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
290  val allowEnqueue = validCount <= (StoreQueueSize - LSQStEnqWidth).U
291
292  val deqMask = UIntToMask(deqPtr, StoreQueueSize)
293  val enqMask = UIntToMask(enqPtr, StoreQueueSize)
294
295  val commitCount = WireInit(0.U(log2Ceil(CommitWidth + 1).W))
296  val scommit = GatedRegNext(io.rob.scommit)
297
298  // RegNext misalign control for better timing
299  val doMisalignSt = GatedValidRegNext((rdataPtrExt(0).value === deqPtr) && (cmtPtr === deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && unaligned(deqPtr) && !isVec(deqPtr))
300  val finishMisalignSt = GatedValidRegNext(doMisalignSt && io.maControl.control.removeSq && !io.maControl.control.hasException)
301  val misalignBlock = doMisalignSt && !finishMisalignSt
302
303  // store miss align info
304  io.maControl.storeInfo.data := dataModule.io.rdata(0).data
305  io.maControl.storeInfo.dataReady := doMisalignSt
306  io.maControl.storeInfo.completeSbTrans := doMisalignSt && dataBuffer.io.enq(0).fire
307
308  // store can be committed by ROB
309  io.rob.mmio := DontCare
310  io.rob.uop := DontCare
311
312  // Read dataModule
313  assert(EnsbufferWidth <= 2)
314  // rdataPtrExtNext and rdataPtrExtNext+1 entry will be read from dataModule
315  val rdataPtrExtNext = Wire(Vec(EnsbufferWidth, new SqPtr))
316  rdataPtrExtNext := WireInit(Mux(dataBuffer.io.enq(1).fire,
317    VecInit(rdataPtrExt.map(_ + 2.U)),
318    Mux(dataBuffer.io.enq(0).fire || io.mmioStout.fire || io.vecmmioStout.fire,
319      VecInit(rdataPtrExt.map(_ + 1.U)),
320      rdataPtrExt
321    )
322  ))
323
324  // deqPtrExtNext traces which inst is about to leave store queue
325  //
326  // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
327  // Before data write finish, sbuffer is unable to provide store to load
328  // forward data. As an workaround, deqPtrExt and allocated flag update
329  // is delayed so that load can get the right data from store queue.
330  //
331  // Modify deqPtrExtNext and io.sqDeq with care!
332  val deqPtrExtNext = Wire(Vec(EnsbufferWidth, new SqPtr))
333  deqPtrExtNext := Mux(RegNext(io.sbuffer(1).fire),
334    VecInit(deqPtrExt.map(_ + 2.U)),
335    Mux((RegNext(io.sbuffer(0).fire)) || io.mmioStout.fire || io.vecmmioStout.fire,
336      VecInit(deqPtrExt.map(_ + 1.U)),
337      deqPtrExt
338    )
339  )
340
341  io.sqDeq := RegNext(Mux(RegNext(io.sbuffer(1).fire && !misalignBlock), 2.U,
342    Mux((RegNext(io.sbuffer(0).fire && !misalignBlock)) || io.mmioStout.fire || io.vecmmioStout.fire || finishMisalignSt, 1.U, 0.U)
343  ))
344  assert(!RegNext(RegNext(io.sbuffer(0).fire) && (io.mmioStout.fire || io.vecmmioStout.fire)))
345
346  for (i <- 0 until EnsbufferWidth) {
347    dataModule.io.raddr(i) := rdataPtrExtNext(i).value
348    paddrModule.io.raddr(i) := rdataPtrExtNext(i).value
349    vaddrModule.io.raddr(i) := rdataPtrExtNext(i).value
350  }
351
352  /**
353    * Enqueue at dispatch
354    *
355    * Currently, StoreQueue only allows enqueue when #emptyEntries > EnqWidth
356    */
357  io.enq.canAccept := allowEnqueue
358  val canEnqueue = io.enq.req.map(_.valid)
359  val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect))
360  val vStoreFlow = io.enq.req.map(_.bits.numLsElem)
361  val validVStoreFlow = vStoreFlow.zipWithIndex.map{case (vLoadFlowNumItem, index) => Mux(!RegNext(io.brqRedirect.valid) && canEnqueue(index), vLoadFlowNumItem, 0.U)}
362  val validVStoreOffset = vStoreFlow.zip(io.enq.needAlloc).map{case (flow, needAllocItem) => Mux(needAllocItem, flow, 0.U)}
363  val validVStoreOffsetRShift = 0.U +: validVStoreOffset.take(vStoreFlow.length - 1)
364
365  for (i <- 0 until io.enq.req.length) {
366    val sqIdx = enqPtrExt(0) + validVStoreOffsetRShift.take(i + 1).reduce(_ + _)
367    val index = io.enq.req(i).bits.sqIdx
368    val enqInstr = io.enq.req(i).bits.instr.asTypeOf(new XSInstBitFields)
369    when (canEnqueue(i) && !enqCancel(i)) {
370      // The maximum 'numLsElem' number that can be emitted per dispatch port is:
371      //    16 2 2 2 2 2.
372      // Therefore, VecMemLSQEnqIteratorNumberSeq = Seq(16, 2, 2, 2, 2, 2)
373      for (j <- 0 until VecMemLSQEnqIteratorNumberSeq(i)) {
374        when (j.U < validVStoreOffset(i)) {
375          uop((index + j.U).value) := io.enq.req(i).bits
376          // NOTE: the index will be used when replay
377          uop((index + j.U).value).sqIdx := sqIdx + j.U
378          vecLastFlow((index + j.U).value) := Mux((j + 1).U === validVStoreOffset(i), io.enq.req(i).bits.lastUop, false.B)
379          allocated((index + j.U).value) := true.B
380          datavalid((index + j.U).value) := false.B
381          addrvalid((index + j.U).value) := false.B
382          unaligned((index + j.U).value) := false.B
383          committed((index + j.U).value) := false.B
384          pending((index + j.U).value) := false.B
385          prefetch((index + j.U).value) := false.B
386          mmio((index + j.U).value) := false.B
387          isVec((index + j.U).value) := enqInstr.isVecStore // check vector store by the encoding of inst
388          vecMbCommit((index + j.U).value) := false.B
389          vecDataValid((index + j.U).value) := false.B
390          hasException((index + j.U).value) := false.B
391          waitStoreS2((index + j.U).value) := true.B
392          XSError(!io.enq.canAccept || !io.enq.lqCanAccept, s"must accept $i\n")
393          XSError(index.value =/= sqIdx.value, s"must be the same entry $i\n")
394        }
395      }
396    }
397    io.enq.resp(i) := sqIdx
398  }
399  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
400
401  /**
402    * Update addr/dataReadyPtr when issue from rs
403    */
404  // update issuePtr
405  val IssuePtrMoveStride = 4
406  require(IssuePtrMoveStride >= 2)
407
408  val addrReadyLookupVec = (0 until IssuePtrMoveStride).map(addrReadyPtrExt + _.U)
409  val addrReadyLookup = addrReadyLookupVec.map(ptr => allocated(ptr.value) &&
410   (mmio(ptr.value) || addrvalid(ptr.value) || vecMbCommit(ptr.value))
411    && ptr =/= enqPtrExt(0))
412  val nextAddrReadyPtr = addrReadyPtrExt + PriorityEncoder(VecInit(addrReadyLookup.map(!_) :+ true.B))
413  addrReadyPtrExt := nextAddrReadyPtr
414
415  val stAddrReadyVecReg = Wire(Vec(StoreQueueSize, Bool()))
416  (0 until StoreQueueSize).map(i => {
417    stAddrReadyVecReg(i) := allocated(i) && (mmio(i) || addrvalid(i) || (isVec(i) && vecMbCommit(i)))
418  })
419  io.stAddrReadyVec := GatedValidRegNext(stAddrReadyVecReg)
420
421  when (io.brqRedirect.valid) {
422    addrReadyPtrExt := Mux(
423      isAfter(cmtPtrExt(0), deqPtrExt(0)),
424      cmtPtrExt(0),
425      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
426    )
427  }
428
429  io.stAddrReadySqPtr := addrReadyPtrExt
430
431  // update
432  val dataReadyLookupVec = (0 until IssuePtrMoveStride).map(dataReadyPtrExt + _.U)
433  val dataReadyLookup = dataReadyLookupVec.map(ptr => allocated(ptr.value) &&
434   (mmio(ptr.value) || datavalid(ptr.value) || vecMbCommit(ptr.value))
435    && ptr =/= enqPtrExt(0))
436  val nextDataReadyPtr = dataReadyPtrExt + PriorityEncoder(VecInit(dataReadyLookup.map(!_) :+ true.B))
437  dataReadyPtrExt := nextDataReadyPtr
438
439  val stDataReadyVecReg = Wire(Vec(StoreQueueSize, Bool()))
440  (0 until StoreQueueSize).map(i => {
441    stDataReadyVecReg(i) := allocated(i) && (mmio(i) || datavalid(i) || (isVec(i) && vecMbCommit(i)))
442  })
443  io.stDataReadyVec := GatedValidRegNext(stDataReadyVecReg)
444
445  when (io.brqRedirect.valid) {
446    dataReadyPtrExt := Mux(
447      isAfter(cmtPtrExt(0), deqPtrExt(0)),
448      cmtPtrExt(0),
449      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
450    )
451  }
452
453  io.stDataReadySqPtr := dataReadyPtrExt
454  io.stIssuePtr := enqPtrExt(0)
455  io.sqDeqPtr := deqPtrExt(0)
456
457  /**
458    * Writeback store from store units
459    *
460    * Most store instructions writeback to regfile in the previous cycle.
461    * However,
462    *   (1) For an mmio instruction with exceptions, we need to mark it as addrvalid
463    * (in this way it will trigger an exception when it reaches ROB's head)
464    * instead of pending to avoid sending them to lower level.
465    *   (2) For an mmio instruction without exceptions, we mark it as pending.
466    * When the instruction reaches ROB's head, StoreQueue sends it to uncache channel.
467    * Upon receiving the response, StoreQueue writes back the instruction
468    * through arbiter with store units. It will later commit as normal.
469    */
470
471  // Write addr to sq
472  for (i <- 0 until StorePipelineWidth) {
473    paddrModule.io.wen(i) := false.B
474    vaddrModule.io.wen(i) := false.B
475    dataModule.io.mask.wen(i) := false.B
476    val stWbIndex = io.storeAddrIn(i).bits.uop.sqIdx.value
477    exceptionBuffer.io.storeAddrIn(i).valid := io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss && !io.storeAddrIn(i).bits.isvec
478    exceptionBuffer.io.storeAddrIn(i).bits := io.storeAddrIn(i).bits
479    // will re-enter exceptionbuffer at store_s2
480    exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).valid := false.B
481    exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits := 0.U.asTypeOf(new LsPipelineBundle)
482
483    when (io.storeAddrIn(i).fire) {
484      val addr_valid = !io.storeAddrIn(i).bits.miss
485      addrvalid(stWbIndex) := addr_valid //!io.storeAddrIn(i).bits.mmio
486      // pending(stWbIndex) := io.storeAddrIn(i).bits.mmio
487      unaligned(stWbIndex) := io.storeAddrIn(i).bits.uop.exceptionVec(storeAddrMisaligned)
488
489      paddrModule.io.waddr(i) := stWbIndex
490      paddrModule.io.wdata(i) := io.storeAddrIn(i).bits.paddr
491      paddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
492      paddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
493      paddrModule.io.wen(i) := true.B
494
495      vaddrModule.io.waddr(i) := stWbIndex
496      vaddrModule.io.wdata(i) := io.storeAddrIn(i).bits.vaddr
497      vaddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
498      vaddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
499      vaddrModule.io.wen(i) := true.B
500
501      debug_paddr(paddrModule.io.waddr(i)) := paddrModule.io.wdata(i)
502
503      // mmio(stWbIndex) := io.storeAddrIn(i).bits.mmio
504
505      uop(stWbIndex) := io.storeAddrIn(i).bits.uop
506      uop(stWbIndex).debugInfo := io.storeAddrIn(i).bits.uop.debugInfo
507
508      vecDataValid(stWbIndex) := io.storeAddrIn(i).bits.isvec
509
510      XSInfo("store addr write to sq idx %d pc 0x%x miss:%d vaddr %x paddr %x mmio %x isvec %x\n",
511        io.storeAddrIn(i).bits.uop.sqIdx.value,
512        io.storeAddrIn(i).bits.uop.pc,
513        io.storeAddrIn(i).bits.miss,
514        io.storeAddrIn(i).bits.vaddr,
515        io.storeAddrIn(i).bits.paddr,
516        io.storeAddrIn(i).bits.mmio,
517        io.storeAddrIn(i).bits.isvec
518      )
519    }
520
521    // re-replinish mmio, for pma/pmp will get mmio one cycle later
522    val storeAddrInFireReg = RegNext(io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss)
523    //val stWbIndexReg = RegNext(stWbIndex)
524    val stWbIndexReg = RegEnable(stWbIndex, io.storeAddrIn(i).fire)
525    when (storeAddrInFireReg) {
526      pending(stWbIndexReg) := io.storeAddrInRe(i).mmio
527      mmio(stWbIndexReg) := io.storeAddrInRe(i).mmio
528      atomic(stWbIndexReg) := io.storeAddrInRe(i).atomic
529      hasException(stWbIndexReg) := ExceptionNO.selectByFu(uop(stWbIndexReg).exceptionVec, StaCfg).asUInt.orR ||
530                                    TriggerAction.isDmode(uop(stWbIndexReg).trigger) || io.storeAddrInRe(i).af
531      waitStoreS2(stWbIndexReg) := false.B
532    }
533    // dcache miss info (one cycle later than storeIn)
534    // if dcache report a miss in sta pipeline, this store will trigger a prefetch when committing to sbuffer (if EnableAtCommitMissTrigger)
535    when (storeAddrInFireReg) {
536      prefetch(stWbIndexReg) := io.storeAddrInRe(i).miss
537    }
538    // enter exceptionbuffer again
539    when (storeAddrInFireReg) {
540      exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).valid := io.storeAddrInRe(i).af && !io.storeAddrInRe(i).isvec
541      exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits := RegEnable(io.storeAddrIn(i).bits, io.storeAddrIn(i).fire && !io.storeAddrIn(i).bits.miss)
542      exceptionBuffer.io.storeAddrIn(StorePipelineWidth + i).bits.uop.exceptionVec(storeAccessFault) := io.storeAddrInRe(i).af
543    }
544
545    when(vaddrModule.io.wen(i)){
546      debug_vaddr(vaddrModule.io.waddr(i)) := vaddrModule.io.wdata(i)
547    }
548  }
549
550  // Write data to sq
551  // Now store data pipeline is actually 2 stages
552  for (i <- 0 until StorePipelineWidth) {
553    dataModule.io.data.wen(i) := false.B
554    val stWbIndex = io.storeDataIn(i).bits.uop.sqIdx.value
555    val isVec     = FuType.isVStore(io.storeDataIn(i).bits.uop.fuType)
556    // sq data write takes 2 cycles:
557    // sq data write s0
558    when (io.storeDataIn(i).fire) {
559      // send data write req to data module
560      dataModule.io.data.waddr(i) := stWbIndex
561      dataModule.io.data.wdata(i) := Mux(io.storeDataIn(i).bits.uop.fuOpType === LSUOpType.cbo_zero,
562        0.U,
563        Mux(isVec,
564          io.storeDataIn(i).bits.data,
565          genVWdata(io.storeDataIn(i).bits.data, io.storeDataIn(i).bits.uop.fuOpType(2,0)))
566      )
567      dataModule.io.data.wen(i) := true.B
568
569      debug_data(dataModule.io.data.waddr(i)) := dataModule.io.data.wdata(i)
570
571      XSInfo("store data write to sq idx %d pc 0x%x data %x -> %x\n",
572        io.storeDataIn(i).bits.uop.sqIdx.value,
573        io.storeDataIn(i).bits.uop.pc,
574        io.storeDataIn(i).bits.data,
575        dataModule.io.data.wdata(i)
576      )
577    }
578    // sq data write s1
579    when (
580      RegNext(io.storeDataIn(i).fire)
581      // && !RegNext(io.storeDataIn(i).bits.uop).robIdx.needFlush(io.brqRedirect)
582    ) {
583      datavalid(RegEnable(stWbIndex, io.storeDataIn(i).fire)) := true.B
584    }
585  }
586
587  // Write mask to sq
588  for (i <- 0 until StorePipelineWidth) {
589    // sq mask write s0
590    when (io.storeMaskIn(i).fire) {
591      // send data write req to data module
592      dataModule.io.mask.waddr(i) := io.storeMaskIn(i).bits.sqIdx.value
593      dataModule.io.mask.wdata(i) := io.storeMaskIn(i).bits.mask
594      dataModule.io.mask.wen(i) := true.B
595    }
596  }
597
598  /**
599    * load forward query
600    *
601    * Check store queue for instructions that is older than the load.
602    * The response will be valid at the next cycle after req.
603    */
604  // check over all lq entries and forward data from the first matched store
605  for (i <- 0 until LoadPipelineWidth) {
606    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
607    // (1) if they have the same flag, we need to check range(tail, sqIdx)
608    // (2) if they have different flags, we need to check range(tail, VirtualLoadQueueSize) and range(0, sqIdx)
609    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, VirtualLoadQueueSize))
610    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
611    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
612    val differentFlag = deqPtrExt(0).flag =/= io.forward(i).sqIdx.flag
613    val forwardMask = io.forward(i).sqIdxMask
614    // all addrvalid terms need to be checked
615    // Real Vaild: all scalar stores, and vector store with (!inactive && !secondInvalid)
616    val addrRealValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && allocated(j))))
617    // vector store will consider all inactive || secondInvalid flows as valid
618    val addrValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && allocated(j))))
619    val dataValidVec = WireInit(VecInit((0 until StoreQueueSize).map(j => datavalid(j))))
620    val allValidVec  = WireInit(VecInit((0 until StoreQueueSize).map(j => addrvalid(j) && datavalid(j) && allocated(j))))
621
622    val lfstEnable = Constantin.createRecord("LFSTEnable", LFSTEnable)
623    val storeSetHitVec = Mux(lfstEnable,
624      WireInit(VecInit((0 until StoreQueueSize).map(j => io.forward(i).uop.loadWaitBit && uop(j).robIdx === io.forward(i).uop.waitForRobIdx))),
625      WireInit(VecInit((0 until StoreQueueSize).map(j => uop(j).storeSetHit && uop(j).ssid === io.forward(i).uop.ssid)))
626    )
627
628    val forwardMask1 = Mux(differentFlag, ~deqMask, deqMask ^ forwardMask)
629    val forwardMask2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W))
630    val canForward1 = forwardMask1 & allValidVec.asUInt
631    val canForward2 = forwardMask2 & allValidVec.asUInt
632    val needForward = Mux(differentFlag, ~deqMask | forwardMask, deqMask ^ forwardMask)
633
634    XSDebug(p"$i f1 ${Binary(canForward1)} f2 ${Binary(canForward2)} " +
635      p"sqIdx ${io.forward(i).sqIdx} pa ${Hexadecimal(io.forward(i).paddr)}\n"
636    )
637
638    // do real fwd query (cam lookup in load_s1)
639    dataModule.io.needForward(i)(0) := canForward1 & vaddrModule.io.forwardMmask(i).asUInt
640    dataModule.io.needForward(i)(1) := canForward2 & vaddrModule.io.forwardMmask(i).asUInt
641
642    vaddrModule.io.forwardMdata(i) := io.forward(i).vaddr
643    vaddrModule.io.forwardDataMask(i) := io.forward(i).mask
644    paddrModule.io.forwardMdata(i) := io.forward(i).paddr
645    paddrModule.io.forwardDataMask(i) := io.forward(i).mask
646
647    // vaddr cam result does not equal to paddr cam result
648    // replay needed
649    // val vpmaskNotEqual = ((paddrModule.io.forwardMmask(i).asUInt ^ vaddrModule.io.forwardMmask(i).asUInt) & needForward) =/= 0.U
650    // val vaddrMatchFailed = vpmaskNotEqual && io.forward(i).valid
651    val vpmaskNotEqual = (
652      (RegEnable(paddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid) ^ RegEnable(vaddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid)) &
653      RegNext(needForward) &
654      GatedRegNext(addrRealValidVec.asUInt)
655    ) =/= 0.U
656    val vaddrMatchFailed = vpmaskNotEqual && RegNext(io.forward(i).valid)
657    when (vaddrMatchFailed) {
658      XSInfo("vaddrMatchFailed: pc %x pmask %x vmask %x\n",
659        RegEnable(io.forward(i).uop.pc, io.forward(i).valid),
660        RegEnable(needForward & paddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid),
661        RegEnable(needForward & vaddrModule.io.forwardMmask(i).asUInt, io.forward(i).valid)
662      );
663    }
664    XSPerfAccumulate("vaddr_match_failed", vpmaskNotEqual)
665    XSPerfAccumulate("vaddr_match_really_failed", vaddrMatchFailed)
666
667    // Fast forward mask will be generated immediately (load_s1)
668    io.forward(i).forwardMaskFast := dataModule.io.forwardMaskFast(i)
669
670    // Forward result will be generated 1 cycle later (load_s2)
671    io.forward(i).forwardMask := dataModule.io.forwardMask(i)
672    io.forward(i).forwardData := dataModule.io.forwardData(i)
673    // If addr match, data not ready, mark it as dataInvalid
674    // load_s1: generate dataInvalid in load_s1 to set fastUop
675    val dataInvalidMask1 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask1.asUInt)
676    val dataInvalidMask2 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask2.asUInt)
677    val dataInvalidMask = dataInvalidMask1 | dataInvalidMask2
678    io.forward(i).dataInvalidFast := dataInvalidMask.orR
679
680    // make chisel happy
681    val dataInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
682    dataInvalidMask1Reg := RegNext(dataInvalidMask1)
683    // make chisel happy
684    val dataInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
685    dataInvalidMask2Reg := RegNext(dataInvalidMask2)
686    val dataInvalidMaskReg = dataInvalidMask1Reg | dataInvalidMask2Reg
687
688    // If SSID match, address not ready, mark it as addrInvalid
689    // load_s2: generate addrInvalid
690    val addrInvalidMask1 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask1.asUInt)
691    val addrInvalidMask2 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask2.asUInt)
692    // make chisel happy
693    val addrInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
694    addrInvalidMask1Reg := RegNext(addrInvalidMask1)
695    // make chisel happy
696    val addrInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
697    addrInvalidMask2Reg := RegNext(addrInvalidMask2)
698    val addrInvalidMaskReg = addrInvalidMask1Reg | addrInvalidMask2Reg
699
700    // load_s2
701    io.forward(i).dataInvalid := RegNext(io.forward(i).dataInvalidFast)
702    // check if vaddr forward mismatched
703    io.forward(i).matchInvalid := vaddrMatchFailed
704
705    // data invalid sq index
706    // check whether false fail
707    // check flag
708    val s2_differentFlag = RegNext(differentFlag)
709    val s2_enqPtrExt = RegNext(enqPtrExt(0))
710    val s2_deqPtrExt = RegNext(deqPtrExt(0))
711
712    // addr invalid sq index
713    // make chisel happy
714    val addrInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
715    addrInvalidMaskRegWire := addrInvalidMaskReg
716    val addrInvalidFlag = addrInvalidMaskRegWire.orR
717    val hasInvalidAddr = (~addrValidVec.asUInt & needForward).orR
718
719    val addrInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask1Reg))))
720    val addrInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask2Reg))))
721    val addrInvalidSqIdx = Mux(addrInvalidMask2Reg.orR, addrInvalidSqIdx2, addrInvalidSqIdx1)
722
723    // store-set content management
724    //                +-----------------------+
725    //                | Search a SSID for the |
726    //                |    load operation     |
727    //                +-----------------------+
728    //                           |
729    //                           V
730    //                 +-------------------+
731    //                 | load wait strict? |
732    //                 +-------------------+
733    //                           |
734    //                           V
735    //               +----------------------+
736    //            Set|                      |Clean
737    //               V                      V
738    //  +------------------------+   +------------------------------+
739    //  | Waiting for all older  |   | Wait until the corresponding |
740    //  |   stores operations    |   | older store operations       |
741    //  +------------------------+   +------------------------------+
742
743
744
745    when (RegEnable(io.forward(i).uop.loadWaitStrict, io.forward(i).valid)) {
746      io.forward(i).addrInvalidSqIdx := RegEnable((io.forward(i).uop.sqIdx - 1.U), io.forward(i).valid)
747    } .elsewhen (addrInvalidFlag) {
748      io.forward(i).addrInvalidSqIdx.flag := Mux(!s2_differentFlag || addrInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
749      io.forward(i).addrInvalidSqIdx.value := addrInvalidSqIdx
750    } .otherwise {
751      // may be store inst has been written to sbuffer already.
752      io.forward(i).addrInvalidSqIdx := RegEnable(io.forward(i).uop.sqIdx, io.forward(i).valid)
753    }
754    io.forward(i).addrInvalid := Mux(RegEnable(io.forward(i).uop.loadWaitStrict, io.forward(i).valid), RegNext(hasInvalidAddr), addrInvalidFlag)
755
756    // data invalid sq index
757    // make chisel happy
758    val dataInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
759    dataInvalidMaskRegWire := dataInvalidMaskReg
760    val dataInvalidFlag = dataInvalidMaskRegWire.orR
761
762    val dataInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask1Reg))))
763    val dataInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask2Reg))))
764    val dataInvalidSqIdx = Mux(dataInvalidMask2Reg.orR, dataInvalidSqIdx2, dataInvalidSqIdx1)
765
766    when (dataInvalidFlag) {
767      io.forward(i).dataInvalidSqIdx.flag := Mux(!s2_differentFlag || dataInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
768      io.forward(i).dataInvalidSqIdx.value := dataInvalidSqIdx
769    } .otherwise {
770      // may be store inst has been written to sbuffer already.
771      io.forward(i).dataInvalidSqIdx := RegEnable(io.forward(i).uop.sqIdx, io.forward(i).valid)
772    }
773  }
774
775  /**
776    * Memory mapped IO / other uncached operations / CMO
777    *
778    * States:
779    * (1) writeback from store units: mark as pending
780    * (2) when they reach ROB's head, they can be sent to uncache channel
781    * (3) response from uncache channel: mark as datavalidmask.wen
782    * (4) writeback to ROB (and other units): mark as writebacked
783    * (5) ROB commits the instruction: same as normal instructions
784    */
785  //(2) when they reach ROB's head, they can be sent to uncache channel
786  // TODO: CAN NOT deal with vector mmio now!
787  val s_idle :: s_req :: s_resp :: s_wb :: s_wait :: Nil = Enum(5)
788  val uncacheState = RegInit(s_idle)
789  val uncacheUop = Reg(new DynInst)
790  val uncacheVAddr = Reg(UInt(VAddrBits.W))
791  val cboFlushedSb = RegInit(false.B)
792  switch(uncacheState) {
793    is(s_idle) {
794      when(RegNext(io.rob.pendingst && uop(deqPtr).robIdx === io.rob.pendingPtr && pending(deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && addrvalid(deqPtr))) {
795        uncacheState := s_req
796        uncacheUop := uop(deqPtr)
797        cboFlushedSb := false.B
798      }
799    }
800    is(s_req) {
801      when (io.uncache.req.fire) {
802        when (io.uncacheOutstanding) {
803          uncacheState := s_wb
804        } .otherwise {
805          uncacheState := s_resp
806        }
807      }
808    }
809    is(s_resp) {
810      when(io.uncache.resp.fire) {
811        uncacheState := s_wb
812
813        when (io.uncache.resp.bits.nderr) {
814          uncacheUop.exceptionVec(storeAccessFault) := true.B
815        }
816      }
817    }
818    is(s_wb) {
819      when (io.mmioStout.fire || io.vecmmioStout.fire) {
820        uncacheState := s_wait
821      }
822    }
823    is(s_wait) {
824      // A MMIO store can always move cmtPtrExt as it must be ROB head
825      when(scommit > 0.U) {
826        uncacheState := s_idle // ready for next mmio
827      }
828    }
829  }
830  io.uncache.req.valid := uncacheState === s_req
831
832  io.uncache.req.bits := DontCare
833  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
834  io.uncache.req.bits.addr := paddrModule.io.rdata(0) // data(deqPtr) -> rdata(0)
835  io.uncache.req.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data)
836  io.uncache.req.bits.mask := shiftMaskToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).mask)
837
838  // CBO op type check can be delayed for 1 cycle,
839  // as uncache op will not start in s_idle
840  val cboMmioAddr = get_block_addr(paddrModule.io.rdata(0))
841  val deqCanDoCbo = GatedRegNext(LSUOpType.isCbo(uop(deqPtr).fuOpType) && allocated(deqPtr) && addrvalid(deqPtr))
842  when (deqCanDoCbo) {
843    // disable uncache channel
844    io.uncache.req.valid := false.B
845
846    when (io.cmoOpReq.fire) {
847      uncacheState := s_resp
848    }
849
850    when (uncacheState === s_resp) {
851      when (io.cmoOpResp.fire) {
852        uncacheState := s_wb
853      }
854    }
855  }
856
857  io.cmoOpReq.valid := deqCanDoCbo && cboFlushedSb && (uncacheState === s_req)
858  io.cmoOpReq.bits.opcode  := uop(deqPtr).fuOpType(1, 0)
859  io.cmoOpReq.bits.address := cboMmioAddr
860
861  io.cmoOpResp.ready := deqCanDoCbo && (uncacheState === s_resp)
862
863  io.flushSbuffer.valid := deqCanDoCbo && !cboFlushedSb && (uncacheState === s_req) && !io.flushSbuffer.empty
864
865  when(deqCanDoCbo && !cboFlushedSb && (uncacheState === s_req) && io.flushSbuffer.empty) {
866    cboFlushedSb := true.B
867  }
868
869  io.uncache.req.bits.atomic := atomic(GatedRegNext(rdataPtrExtNext(0)).value)
870
871  when(io.uncache.req.fire){
872    // mmio store should not be committed until uncache req is sent
873    pending(deqPtr) := false.B
874
875    XSDebug(
876      p"uncache req: pc ${Hexadecimal(uop(deqPtr).pc)} " +
877      p"addr ${Hexadecimal(io.uncache.req.bits.addr)} " +
878      p"data ${Hexadecimal(io.uncache.req.bits.data)} " +
879      p"op ${Hexadecimal(io.uncache.req.bits.cmd)} " +
880      p"mask ${Hexadecimal(io.uncache.req.bits.mask)}\n"
881    )
882  }
883
884  // (3) response from uncache channel: mark as datavalid
885  io.uncache.resp.ready := true.B
886
887  // (4) scalar store: writeback to ROB (and other units): mark as writebacked
888  io.mmioStout.valid := uncacheState === s_wb && !isVec(deqPtr)
889  io.mmioStout.bits.uop := uncacheUop
890  io.mmioStout.bits.uop.sqIdx := deqPtrExt(0)
891  io.mmioStout.bits.uop.flushPipe := deqCanDoCbo // flush Pipeline to keep order in CMO
892  io.mmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
893  io.mmioStout.bits.debug.isMMIO := true.B
894  io.mmioStout.bits.debug.paddr := DontCare
895  io.mmioStout.bits.debug.isPerfCnt := false.B
896  io.mmioStout.bits.debug.vaddr := DontCare
897  // Remove MMIO inst from store queue after MMIO request is being sent
898  // That inst will be traced by uncache state machine
899  when (io.mmioStout.fire) {
900    allocated(deqPtr) := false.B
901  }
902
903  exceptionBuffer.io.storeAddrIn.last.valid := io.mmioStout.fire
904  exceptionBuffer.io.storeAddrIn.last.bits := DontCare
905  exceptionBuffer.io.storeAddrIn.last.bits.fullva := vaddrModule.io.rdata.head
906  exceptionBuffer.io.storeAddrIn.last.bits.vaNeedExt := true.B
907  exceptionBuffer.io.storeAddrIn.last.bits.uop := uncacheUop
908
909  // (4) or vector store:
910  // TODO: implement it!
911  io.vecmmioStout := DontCare
912  io.vecmmioStout.valid := false.B //uncacheState === s_wb && isVec(deqPtr)
913  io.vecmmioStout.bits.uop := uop(deqPtr)
914  io.vecmmioStout.bits.uop.sqIdx := deqPtrExt(0)
915  io.vecmmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
916  io.vecmmioStout.bits.debug.isMMIO := true.B
917  io.vecmmioStout.bits.debug.paddr := DontCare
918  io.vecmmioStout.bits.debug.isPerfCnt := false.B
919  io.vecmmioStout.bits.debug.vaddr := DontCare
920  // Remove MMIO inst from store queue after MMIO request is being sent
921  // That inst will be traced by uncache state machine
922  when (io.vecmmioStout.fire) {
923    allocated(deqPtr) := false.B
924  }
925
926  /**
927    * ROB commits store instructions (mark them as committed)
928    *
929    * (1) When store commits, mark it as committed.
930    * (2) They will not be cancelled and can be sent to lower level.
931    */
932  XSError(uncacheState =/= s_idle && uncacheState =/= s_wait && commitCount > 0.U,
933   "should not commit instruction when MMIO has not been finished\n")
934
935  val commitVec = WireInit(VecInit(Seq.fill(CommitWidth)(false.B)))
936  val needCancel = Wire(Vec(StoreQueueSize, Bool())) // Will be assigned later
937  dontTouch(commitVec)
938  // TODO: Deal with vector store mmio
939  for (i <- 0 until CommitWidth) {
940    when (allocated(cmtPtrExt(i).value) && isNotAfter(uop(cmtPtrExt(i).value).robIdx, GatedRegNext(io.rob.pendingPtr)) && !needCancel(cmtPtrExt(i).value) && (!waitStoreS2(cmtPtrExt(i).value) || isVec(cmtPtrExt(i).value))) {
941      // don't commit while doing misalign
942      if (i == 0){
943        // TODO: fixme for vector mmio
944        when ((uncacheState === s_idle) || (uncacheState === s_wait && scommit > 0.U)){
945          when ((isVec(cmtPtrExt(i).value) && vecMbCommit(cmtPtrExt(i).value)) || !isVec(cmtPtrExt(i).value)) {
946            committed(cmtPtrExt(0).value) := Mux(misalignBlock, false.B, true.B)
947            commitVec(0) := Mux(misalignBlock, false.B, true.B)
948          }
949        }
950      } else {
951        when ((isVec(cmtPtrExt(i).value) && vecMbCommit(cmtPtrExt(i).value)) || !isVec(cmtPtrExt(i).value)) {
952          committed(cmtPtrExt(i).value) := Mux(misalignBlock, false.B, commitVec(i - 1) || committed(cmtPtrExt(i).value))
953          commitVec(i) := Mux(misalignBlock, false.B, commitVec(i - 1))
954        }
955      }
956    }
957  }
958
959  commitCount := PopCount(commitVec)
960  cmtPtrExt := cmtPtrExt.map(_ + commitCount)
961
962  // committed stores will not be cancelled and can be sent to lower level.
963  // remove retired insts from sq, add retired store to sbuffer
964
965  // Read data from data module
966  // As store queue grows larger and larger, time needed to read data from data
967  // module keeps growing higher. Now we give data read a whole cycle.
968  for (i <- 0 until EnsbufferWidth) {
969    val ptr = rdataPtrExt(i).value
970    val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value))
971    val exceptionValid = if(i == 0) hasException(rdataPtrExt(0).value) else {
972      hasException(rdataPtrExt(i).value) || (hasException(rdataPtrExt(i-1).value) && uop(rdataPtrExt(i).value).robIdx === uop(rdataPtrExt(i-1).value).robIdx)
973    }
974    val vecNotAllMask = dataModule.io.rdata(i).mask.orR
975    // Vector instructions that prevent triggered exceptions from being written to the 'databuffer'.
976    val vecHasExceptionFlagValid = vecExceptionFlag.valid && isVec(ptr) && vecExceptionFlag.bits.robIdx === uop(ptr).robIdx
977    if (i == 0) {
978      // use dataBuffer write port 0 to writeback missaligned store out
979      dataBuffer.io.enq(i).valid := Mux(
980        doMisalignSt,
981        io.maControl.control.writeSb,
982        allocated(ptr) && committed(ptr) && ((!isVec(ptr) && (allvalid(ptr) || hasException(ptr))) || vecMbCommit(ptr)) && !mmioStall
983      )
984    } else {
985      dataBuffer.io.enq(i).valid := Mux(
986        doMisalignSt,
987        false.B,
988        allocated(ptr) && committed(ptr) && ((!isVec(ptr) && (allvalid(ptr) || hasException(ptr))) || vecMbCommit(ptr)) && !mmioStall
989      )
990    }
991    // Note that store data/addr should both be valid after store's commit
992    assert(!dataBuffer.io.enq(i).valid || allvalid(ptr) || doMisalignSt || (allocated(ptr) && vecMbCommit(ptr)))
993    dataBuffer.io.enq(i).bits.addr     := Mux(doMisalignSt, io.maControl.control.paddr, paddrModule.io.rdata(i))
994    dataBuffer.io.enq(i).bits.vaddr    := Mux(doMisalignSt, io.maControl.control.vaddr, vaddrModule.io.rdata(i))
995    dataBuffer.io.enq(i).bits.data     := Mux(doMisalignSt, io.maControl.control.wdata, dataModule.io.rdata(i).data)
996    dataBuffer.io.enq(i).bits.mask     := Mux(doMisalignSt, io.maControl.control.wmask, dataModule.io.rdata(i).mask)
997    dataBuffer.io.enq(i).bits.wline    := Mux(doMisalignSt, false.B, paddrModule.io.rlineflag(i))
998    dataBuffer.io.enq(i).bits.sqPtr    := rdataPtrExt(i)
999    dataBuffer.io.enq(i).bits.prefetch := Mux(doMisalignSt, false.B, prefetch(ptr))
1000    // when scalar has exception, will also not write into sbuffer
1001    dataBuffer.io.enq(i).bits.vecValid := Mux(doMisalignSt, true.B, (!isVec(ptr) || (vecDataValid(ptr) && vecNotAllMask)) && !exceptionValid && !vecHasExceptionFlagValid)
1002//    dataBuffer.io.enq(i).bits.vecValid := (!isVec(ptr) || vecDataValid(ptr)) && !hasException(ptr)
1003  }
1004
1005  // Send data stored in sbufferReqBitsReg to sbuffer
1006  for (i <- 0 until EnsbufferWidth) {
1007    io.sbuffer(i).valid := dataBuffer.io.deq(i).valid
1008    dataBuffer.io.deq(i).ready := io.sbuffer(i).ready
1009    io.sbuffer(i).bits := DontCare
1010    io.sbuffer(i).bits.cmd   := MemoryOpConstants.M_XWR
1011    io.sbuffer(i).bits.addr  := dataBuffer.io.deq(i).bits.addr
1012    io.sbuffer(i).bits.vaddr := dataBuffer.io.deq(i).bits.vaddr
1013    io.sbuffer(i).bits.data  := dataBuffer.io.deq(i).bits.data
1014    io.sbuffer(i).bits.mask  := dataBuffer.io.deq(i).bits.mask
1015    io.sbuffer(i).bits.wline := dataBuffer.io.deq(i).bits.wline && dataBuffer.io.deq(i).bits.vecValid
1016    io.sbuffer(i).bits.prefetch := dataBuffer.io.deq(i).bits.prefetch
1017    io.sbuffer(i).bits.vecValid := dataBuffer.io.deq(i).bits.vecValid
1018    // io.sbuffer(i).fire is RegNexted, as sbuffer data write takes 2 cycles.
1019    // Before data write finish, sbuffer is unable to provide store to load
1020    // forward data. As an workaround, deqPtrExt and allocated flag update
1021    // is delayed so that load can get the right data from store queue.
1022    val ptr = dataBuffer.io.deq(i).bits.sqPtr.value
1023    when (RegNext(io.sbuffer(i).fire && !doMisalignSt)) {
1024      allocated(RegEnable(ptr, io.sbuffer(i).fire)) := false.B
1025      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
1026    }
1027  }
1028
1029  // All vector instruction uop normally dequeue, but the Uop after the exception is raised does not write to the 'sbuffer'.
1030  // Flags are used to record whether there are any exceptions when the queue is displayed.
1031  // This is determined each time a write is made to the 'databuffer', prevent subsequent uop of the same instruction from writing to the 'dataBuffer'.
1032  val vecCommitHasException = (0 until EnsbufferWidth).map{ i =>
1033    val ptr                 = rdataPtrExt(i).value
1034    val mmioStall           = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value))
1035    val exceptionVliad      = allocated(ptr) && committed(ptr) && vecMbCommit(ptr) && !mmioStall && isVec(ptr) && vecDataValid(ptr) && hasException(ptr)
1036    (exceptionVliad, uop(ptr), vecLastFlow(ptr))
1037  }
1038
1039  val vecCommitHasExceptionValid      = vecCommitHasException.map(_._1)
1040  val vecCommitHasExceptionUop        = vecCommitHasException.map(_._2)
1041  val vecCommitHasExceptionLastFlow   = vecCommitHasException.map(_._3)
1042  val vecCommitHasExceptionValidOR    = vecCommitHasExceptionValid.reduce(_ || _)
1043  // Just select the last Uop tah has an exception.
1044  val vecCommitHasExceptionSelectUop  = ParallelPosteriorityMux(vecCommitHasExceptionValid, vecCommitHasExceptionUop)
1045  // If the last flow with an exception is the LastFlow of this instruction, the flag is not set.
1046  // compare robidx to select the last flow
1047  require(EnsbufferWidth == 2, "The vector store exception handle process only support EnsbufferWidth == 2 yet.")
1048  val robidxEQ = uop(rdataPtrExt(0).value).robIdx === uop(rdataPtrExt(1).value).robIdx
1049
1050  val vecCommitLastFlow =
1051    // robidx equal => check if 1 is last flow
1052    robidxEQ && vecCommitHasExceptionLastFlow(1) ||
1053    // robidx not equal => 0 must be the last flow, just check if 1 is last flow when 1 has exception
1054    !robidxEQ && vecCommitHasExceptionValid(1) && vecCommitHasExceptionLastFlow(1)
1055
1056
1057  val vecExceptionFlagCancel  = (0 until EnsbufferWidth).map{ i =>
1058    val ptr                   = rdataPtrExt(i).value
1059    val mmioStall             = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value))
1060    val vecLastFlowCommit      = vecLastFlow(ptr) && (uop(ptr).robIdx === vecExceptionFlag.bits.robIdx) && dataBuffer.io.enq(i).fire
1061    vecLastFlowCommit
1062  }.reduce(_ || _)
1063
1064  // When a LastFlow with an exception instruction is commited, clear the flag.
1065  when(!vecExceptionFlag.valid && vecCommitHasExceptionValidOR && !vecCommitLastFlow) {
1066    vecExceptionFlag.valid  := true.B
1067    vecExceptionFlag.bits   := vecCommitHasExceptionSelectUop
1068  }.elsewhen(vecExceptionFlag.valid && vecExceptionFlagCancel) {
1069    vecExceptionFlag.valid  := false.B
1070    vecExceptionFlag.bits   := 0.U.asTypeOf(new DynInst)
1071  }
1072
1073  // A dumb defensive code. The flag should not be placed for a long period of time.
1074  // A relatively large timeout period, not have any special meaning.
1075  // If an assert appears and you confirm that it is not a Bug: Increase the timeout or remove the assert.
1076  TimeOutAssert(vecExceptionFlag.valid, 3000, "vecExceptionFlag timeout, Plase check for bugs or add timeouts.")
1077
1078  // Initialize when unenabled difftest.
1079  for (i <- 0 until EnsbufferWidth) {
1080    io.sbufferVecDifftestInfo(i) := DontCare
1081  }
1082  // Consistent with the logic above.
1083  // Only the vector store difftest required signal is separated from the rtl code.
1084  if (env.EnableDifftest) {
1085    for (i <- 0 until EnsbufferWidth) {
1086      val ptr = rdataPtrExt(i).value
1087      val mmioStall = if(i == 0) mmio(rdataPtrExt(0).value) else (mmio(rdataPtrExt(i).value) || mmio(rdataPtrExt(i-1).value))
1088      difftestBuffer.get.io.enq(i).valid := dataBuffer.io.enq(i).valid
1089      difftestBuffer.get.io.enq(i).bits := uop(ptr)
1090    }
1091    for (i <- 0 until EnsbufferWidth) {
1092      io.sbufferVecDifftestInfo(i).valid := difftestBuffer.get.io.deq(i).valid
1093      difftestBuffer.get.io.deq(i).ready := io.sbufferVecDifftestInfo(i).ready
1094
1095      io.sbufferVecDifftestInfo(i).bits := difftestBuffer.get.io.deq(i).bits
1096    }
1097
1098    // commit cbo.inval to difftest
1099    val cmoInvalEvent = DifftestModule(new DiffCMOInvalEvent)
1100    cmoInvalEvent.coreid := io.hartId
1101    cmoInvalEvent.valid  := io.mmioStout.fire && deqCanDoCbo && LSUOpType.isCboInval(uop(deqPtr).fuOpType)
1102    cmoInvalEvent.addr   := cboMmioAddr
1103  }
1104
1105  (1 until EnsbufferWidth).foreach(i => when(io.sbuffer(i).fire) { assert(io.sbuffer(i - 1).fire) })
1106  if (coreParams.dcacheParametersOpt.isEmpty) {
1107    for (i <- 0 until EnsbufferWidth) {
1108      val ptr = deqPtrExt(i).value
1109      val ram = DifftestMem(64L * 1024 * 1024 * 1024, 8)
1110      val wen = allocated(ptr) && committed(ptr) && !mmio(ptr)
1111      val waddr = ((paddrModule.io.rdata(i) - "h80000000".U) >> 3).asUInt
1112      val wdata = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).data(127, 64), dataModule.io.rdata(i).data(63, 0))
1113      val wmask = Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).mask(15, 8), dataModule.io.rdata(i).mask(7, 0))
1114      when (wen) {
1115        ram.write(waddr, wdata.asTypeOf(Vec(8, UInt(8.W))), wmask.asBools)
1116      }
1117    }
1118  }
1119
1120  // Read vaddr for mem exception
1121  io.exceptionAddr.vaddr     := exceptionBuffer.io.exceptionAddr.vaddr
1122  io.exceptionAddr.vaNeedExt := exceptionBuffer.io.exceptionAddr.vaNeedExt
1123  io.exceptionAddr.isHyper   := exceptionBuffer.io.exceptionAddr.isHyper
1124  io.exceptionAddr.gpaddr    := exceptionBuffer.io.exceptionAddr.gpaddr
1125  io.exceptionAddr.vstart    := exceptionBuffer.io.exceptionAddr.vstart
1126  io.exceptionAddr.vl        := exceptionBuffer.io.exceptionAddr.vl
1127  io.exceptionAddr.isForVSnonLeafPTE := exceptionBuffer.io.exceptionAddr.isForVSnonLeafPTE
1128
1129  // vector commit or replay from
1130  val vecCommittmp = Wire(Vec(StoreQueueSize, Vec(VecStorePipelineWidth, Bool())))
1131  val vecCommit = Wire(Vec(StoreQueueSize, Bool()))
1132  for (i <- 0 until StoreQueueSize) {
1133    val fbk = io.vecFeedback
1134    for (j <- 0 until VecStorePipelineWidth) {
1135      vecCommittmp(i)(j) := fbk(j).valid && (fbk(j).bits.isCommit || fbk(j).bits.isFlush) &&
1136        uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx && allocated(i)
1137    }
1138    vecCommit(i) := vecCommittmp(i).reduce(_ || _)
1139
1140    when (vecCommit(i)) {
1141      vecMbCommit(i) := true.B
1142    }
1143  }
1144
1145  // misprediction recovery / exception redirect
1146  // invalidate sq term using robIdx
1147  for (i <- 0 until StoreQueueSize) {
1148    needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) && !committed(i) &&
1149      (!isVec(i) || !(uop(i).robIdx === io.brqRedirect.bits.robIdx))
1150    when (needCancel(i)) {
1151      allocated(i) := false.B
1152    }
1153  }
1154
1155 /**
1156* update pointers
1157**/
1158  val enqCancelValid = canEnqueue.zip(io.enq.req).map{case (v , x) =>
1159    v && x.bits.robIdx.needFlush(io.brqRedirect)
1160  }
1161  val enqCancelNum = enqCancelValid.zip(io.enq.req).map{case (v, req) =>
1162    Mux(v, req.bits.numLsElem, 0.U)
1163  }
1164  val lastEnqCancel = RegEnable(enqCancelNum.reduce(_ + _), io.brqRedirect.valid) // 1 cycle after redirect
1165
1166  val lastCycleCancelCount = PopCount(RegEnable(needCancel, io.brqRedirect.valid)) // 1 cycle after redirect
1167  val lastCycleRedirect = RegNext(io.brqRedirect.valid) // 1 cycle after redirect
1168  val enqNumber = validVStoreFlow.reduce(_ + _)
1169
1170  val lastlastCycleRedirect=RegNext(lastCycleRedirect)// 2 cycle after redirect
1171  val redirectCancelCount = RegEnable(lastCycleCancelCount + lastEnqCancel, 0.U, lastCycleRedirect) // 2 cycle after redirect
1172
1173  when (lastlastCycleRedirect) {
1174    // we recover the pointers in 2 cycle after redirect for better timing
1175    enqPtrExt := VecInit(enqPtrExt.map(_ - redirectCancelCount))
1176  }.otherwise {
1177    // lastCycleRedirect.valid or nornal case
1178    // when lastCycleRedirect.valid, enqNumber === 0.U, enqPtrExt will not change
1179    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
1180  }
1181  assert(!(lastCycleRedirect && enqNumber =/= 0.U))
1182
1183  exceptionBuffer.io.flushFrmMaBuf := finishMisalignSt
1184  // special case (store miss align) in updating ptr
1185  when (doMisalignSt) {
1186    when (!finishMisalignSt) {
1187      // dont move deqPtr and rdataPtr until all split store has been written to sb
1188      deqPtrExtNext := deqPtrExt
1189      rdataPtrExtNext := rdataPtrExt
1190    } .otherwise {
1191      // remove this unaligned store from sq
1192      allocated(deqPtr) := false.B
1193      committed(deqPtr) := true.B
1194      cmtPtrExt := cmtPtrExt.map(_ + 1.U)
1195      deqPtrExtNext := deqPtrExt.map(_ + 1.U)
1196      rdataPtrExtNext := rdataPtrExt.map(_ + 1.U)
1197    }
1198  }
1199
1200  deqPtrExt := deqPtrExtNext
1201  rdataPtrExt := rdataPtrExtNext
1202
1203  // val dequeueCount = Mux(io.sbuffer(1).fire, 2.U, Mux(io.sbuffer(0).fire || io.mmioStout.fire, 1.U, 0.U))
1204
1205  // If redirect at T0, sqCancelCnt is at T2
1206  io.sqCancelCnt := redirectCancelCount
1207  val ForceWriteUpper = Wire(UInt(log2Up(StoreQueueSize + 1).W))
1208  ForceWriteUpper := Constantin.createRecord(s"ForceWriteUpper_${p(XSCoreParamsKey).HartId}", initValue = 60)
1209  val ForceWriteLower = Wire(UInt(log2Up(StoreQueueSize + 1).W))
1210  ForceWriteLower := Constantin.createRecord(s"ForceWriteLower_${p(XSCoreParamsKey).HartId}", initValue = 55)
1211
1212  val valid_cnt = PopCount(allocated)
1213  io.force_write := RegNext(Mux(valid_cnt >= ForceWriteUpper, true.B, valid_cnt >= ForceWriteLower && io.force_write), init = false.B)
1214
1215  // io.sqempty will be used by sbuffer
1216  // We delay it for 1 cycle for better timing
1217  // When sbuffer need to check if it is empty, the pipeline is blocked, which means delay io.sqempty
1218  // for 1 cycle will also promise that sq is empty in that cycle
1219  io.sqEmpty := RegNext(
1220    enqPtrExt(0).value === deqPtrExt(0).value &&
1221    enqPtrExt(0).flag === deqPtrExt(0).flag
1222  )
1223  // perf counter
1224  QueuePerf(StoreQueueSize, validCount, !allowEnqueue)
1225  val vecValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => allocated(i) && isVec(i))))
1226  QueuePerf(StoreQueueSize, PopCount(vecValidVec), !allowEnqueue)
1227  io.sqFull := !allowEnqueue
1228  XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req
1229  XSPerfAccumulate("mmioCnt", io.uncache.req.fire)
1230  XSPerfAccumulate("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire)
1231  XSPerfAccumulate("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready))
1232  XSPerfAccumulate("validEntryCnt", distanceBetween(enqPtrExt(0), deqPtrExt(0)))
1233  XSPerfAccumulate("cmtEntryCnt", distanceBetween(cmtPtrExt(0), deqPtrExt(0)))
1234  XSPerfAccumulate("nCmtEntryCnt", distanceBetween(enqPtrExt(0), cmtPtrExt(0)))
1235
1236  val perfValidCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
1237  val perfEvents = Seq(
1238    ("mmioCycle      ", uncacheState =/= s_idle),
1239    ("mmioCnt        ", io.uncache.req.fire),
1240    ("mmio_wb_success", io.mmioStout.fire || io.vecmmioStout.fire),
1241    ("mmio_wb_blocked", (io.mmioStout.valid && !io.mmioStout.ready) || (io.vecmmioStout.valid && !io.vecmmioStout.ready)),
1242    ("stq_1_4_valid  ", (perfValidCount < (StoreQueueSize.U/4.U))),
1243    ("stq_2_4_valid  ", (perfValidCount > (StoreQueueSize.U/4.U)) & (perfValidCount <= (StoreQueueSize.U/2.U))),
1244    ("stq_3_4_valid  ", (perfValidCount > (StoreQueueSize.U/2.U)) & (perfValidCount <= (StoreQueueSize.U*3.U/4.U))),
1245    ("stq_4_4_valid  ", (perfValidCount > (StoreQueueSize.U*3.U/4.U))),
1246  )
1247  generatePerfEvent()
1248
1249  // debug info
1250  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt(0).flag, deqPtr)
1251
1252  def PrintFlag(flag: Bool, name: String): Unit = {
1253    when(flag) {
1254      XSDebug(false, true.B, name)
1255    }.otherwise {
1256      XSDebug(false, true.B, " ")
1257    }
1258  }
1259
1260  for (i <- 0 until StoreQueueSize) {
1261    XSDebug(s"$i: pc %x va %x pa %x data %x ",
1262      uop(i).pc,
1263      debug_vaddr(i),
1264      debug_paddr(i),
1265      debug_data(i)
1266    )
1267    PrintFlag(allocated(i), "a")
1268    PrintFlag(allocated(i) && addrvalid(i), "a")
1269    PrintFlag(allocated(i) && datavalid(i), "d")
1270    PrintFlag(allocated(i) && committed(i), "c")
1271    PrintFlag(allocated(i) && pending(i), "p")
1272    PrintFlag(allocated(i) && mmio(i), "m")
1273    XSDebug(false, true.B, "\n")
1274  }
1275
1276}
1277