xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/StoreQueue.scala (revision 0466583513e4c1ddbbb566b866b8963635acb20f)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan._
25import xiangshan.cache._
26import xiangshan.cache.{DCacheWordIO, DCacheLineIO, MemoryOpConstants}
27import xiangshan.backend.rob.{RobLsqIO, RobPtr}
28import difftest._
29import device.RAMHelper
30
31class SqPtr(implicit p: Parameters) extends CircularQueuePtr[SqPtr](
32  p => p(XSCoreParamsKey).StoreQueueSize
33){
34}
35
36object SqPtr {
37  def apply(f: Bool, v: UInt)(implicit p: Parameters): SqPtr = {
38    val ptr = Wire(new SqPtr)
39    ptr.flag := f
40    ptr.value := v
41    ptr
42  }
43}
44
45class SqEnqIO(implicit p: Parameters) extends XSBundle {
46  val canAccept = Output(Bool())
47  val lqCanAccept = Input(Bool())
48  val needAlloc = Vec(exuParameters.LsExuCnt, Input(Bool()))
49  val req = Vec(exuParameters.LsExuCnt, Flipped(ValidIO(new MicroOp)))
50  val resp = Vec(exuParameters.LsExuCnt, Output(new SqPtr))
51}
52
53class DataBufferEntry (implicit p: Parameters)  extends DCacheBundle {
54  val addr   = UInt(PAddrBits.W)
55  val vaddr  = UInt(VAddrBits.W)
56  val data   = UInt(VLEN.W)
57  val mask   = UInt((VLEN/8).W)
58  val wline = Bool()
59  val sqPtr  = new SqPtr
60}
61
62// Store Queue
63class StoreQueue(implicit p: Parameters) extends XSModule
64  with HasDCacheParameters with HasCircularQueuePtrHelper with HasPerfEvents {
65  val io = IO(new Bundle() {
66    val hartId = Input(UInt(8.W))
67    val enq = new SqEnqIO
68    val brqRedirect = Flipped(ValidIO(new Redirect))
69    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // store addr, data is not included
70    val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // store more mmio and exception
71    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput))) // store data, send to sq from rs
72    val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // store mask, send to sq from rs
73    val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddr)) // write committed store to sbuffer
74    val uncacheOutstanding = Input(Bool())
75    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
76    val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO))
77    val rob = Flipped(new RobLsqIO)
78    val uncache = new UncacheWordIO
79    // val refill = Flipped(Valid(new DCacheLineReq ))
80    val exceptionAddr = new ExceptionAddrIO
81    val sqEmpty = Output(Bool())
82    val stAddrReadySqPtr = Output(new SqPtr)
83    val stAddrReadyVec = Output(Vec(StoreQueueSize, Bool()))
84    val stDataReadySqPtr = Output(new SqPtr)
85    val stDataReadyVec = Output(Vec(StoreQueueSize, Bool()))
86    val stIssuePtr = Output(new SqPtr)
87    val sqDeqPtr = Output(new SqPtr)
88    val sqFull = Output(Bool())
89    val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W))
90    val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W))
91    val force_write = Output(Bool())
92  })
93
94  println("StoreQueue: size:" + StoreQueueSize)
95
96  // data modules
97  val uop = Reg(Vec(StoreQueueSize, new MicroOp))
98  // val data = Reg(Vec(StoreQueueSize, new LsqEntry))
99  val dataModule = Module(new SQDataModule(
100    numEntries = StoreQueueSize,
101    numRead = EnsbufferWidth,
102    numWrite = StorePipelineWidth,
103    numForward = StorePipelineWidth
104  ))
105  dataModule.io := DontCare
106  val paddrModule = Module(new SQAddrModule(
107    dataWidth = PAddrBits,
108    numEntries = StoreQueueSize,
109    numRead = EnsbufferWidth,
110    numWrite = StorePipelineWidth,
111    numForward = StorePipelineWidth
112  ))
113  paddrModule.io := DontCare
114  val vaddrModule = Module(new SQAddrModule(
115    dataWidth = VAddrBits,
116    numEntries = StoreQueueSize,
117    numRead = EnsbufferWidth + 1, // sbuffer + badvaddr 1 (TODO)
118    numWrite = StorePipelineWidth,
119    numForward = StorePipelineWidth
120  ))
121  vaddrModule.io := DontCare
122  val dataBuffer = Module(new DatamoduleResultBuffer(new DataBufferEntry))
123  val debug_paddr = Reg(Vec(StoreQueueSize, UInt((PAddrBits).W)))
124  val debug_vaddr = Reg(Vec(StoreQueueSize, UInt((VAddrBits).W)))
125  val debug_data = Reg(Vec(StoreQueueSize, UInt((XLEN).W)))
126
127  // state & misc
128  val allocated = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // sq entry has been allocated
129  val addrvalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio addr is valid
130  val datavalid = RegInit(VecInit(List.fill(StoreQueueSize)(false.B))) // non-mmio data is valid
131  val allvalid  = VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i))) // non-mmio data & addr is valid
132  val committed = Reg(Vec(StoreQueueSize, Bool())) // inst has been committed by rob
133  val pending = Reg(Vec(StoreQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob
134  val mmio = Reg(Vec(StoreQueueSize, Bool())) // mmio: inst is an mmio inst
135  val atomic = Reg(Vec(StoreQueueSize, Bool()))
136
137  // ptr
138  val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new SqPtr))))
139  val rdataPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
140  val deqPtrExt = RegInit(VecInit((0 until EnsbufferWidth).map(_.U.asTypeOf(new SqPtr))))
141  val cmtPtrExt = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new SqPtr))))
142  val addrReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
143  val dataReadyPtrExt = RegInit(0.U.asTypeOf(new SqPtr))
144  val validCounter = RegInit(0.U(log2Ceil(VirtualLoadQueueSize + 1).W))
145
146  val enqPtr = enqPtrExt(0).value
147  val deqPtr = deqPtrExt(0).value
148  val cmtPtr = cmtPtrExt(0).value
149
150  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
151  val allowEnqueue = validCount <= (StoreQueueSize - StorePipelineWidth).U
152
153  val deqMask = UIntToMask(deqPtr, StoreQueueSize)
154  val enqMask = UIntToMask(enqPtr, StoreQueueSize)
155
156  val commitCount = RegNext(io.rob.scommit)
157
158  // store can be committed by ROB
159  io.rob.mmio := DontCare
160  io.rob.uop := DontCare
161
162  // Read dataModule
163  assert(EnsbufferWidth <= 2)
164  // rdataPtrExtNext and rdataPtrExtNext+1 entry will be read from dataModule
165  val rdataPtrExtNext = WireInit(Mux(dataBuffer.io.enq(1).fire(),
166    VecInit(rdataPtrExt.map(_ + 2.U)),
167    Mux(dataBuffer.io.enq(0).fire() || io.mmioStout.fire(),
168      VecInit(rdataPtrExt.map(_ + 1.U)),
169      rdataPtrExt
170    )
171  ))
172
173  // deqPtrExtNext traces which inst is about to leave store queue
174  //
175  // io.sbuffer(i).fire() is RegNexted, as sbuffer data write takes 2 cycles.
176  // Before data write finish, sbuffer is unable to provide store to load
177  // forward data. As an workaround, deqPtrExt and allocated flag update
178  // is delayed so that load can get the right data from store queue.
179  //
180  // Modify deqPtrExtNext and io.sqDeq with care!
181  val deqPtrExtNext = Mux(RegNext(io.sbuffer(1).fire()),
182    VecInit(deqPtrExt.map(_ + 2.U)),
183    Mux(RegNext(io.sbuffer(0).fire()) || io.mmioStout.fire(),
184      VecInit(deqPtrExt.map(_ + 1.U)),
185      deqPtrExt
186    )
187  )
188  io.sqDeq := RegNext(Mux(RegNext(io.sbuffer(1).fire()), 2.U,
189    Mux(RegNext(io.sbuffer(0).fire()) || io.mmioStout.fire(), 1.U, 0.U)
190  ))
191  assert(!RegNext(RegNext(io.sbuffer(0).fire()) && io.mmioStout.fire()))
192
193  for (i <- 0 until EnsbufferWidth) {
194    dataModule.io.raddr(i) := rdataPtrExtNext(i).value
195    paddrModule.io.raddr(i) := rdataPtrExtNext(i).value
196    vaddrModule.io.raddr(i) := rdataPtrExtNext(i).value
197  }
198
199  // no inst will be committed 1 cycle before tval update
200  vaddrModule.io.raddr(EnsbufferWidth) := (cmtPtrExt(0) + commitCount).value
201
202  /**
203    * Enqueue at dispatch
204    *
205    * Currently, StoreQueue only allows enqueue when #emptyEntries > EnqWidth
206    */
207  io.enq.canAccept := allowEnqueue
208  val canEnqueue = io.enq.req.map(_.valid)
209  val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect))
210  for (i <- 0 until io.enq.req.length) {
211    val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i))
212    val sqIdx = enqPtrExt(offset)
213    val index = io.enq.req(i).bits.sqIdx.value
214    when (canEnqueue(i) && !enqCancel(i)) {
215      uop(index) := io.enq.req(i).bits
216      // NOTE: the index will be used when replay
217      uop(index).sqIdx := sqIdx
218      allocated(index) := true.B
219      datavalid(index) := false.B
220      addrvalid(index) := false.B
221      committed(index) := false.B
222      pending(index) := false.B
223      mmio(index) := false.B
224
225      XSError(!io.enq.canAccept || !io.enq.lqCanAccept, s"must accept $i\n")
226      XSError(index =/= sqIdx.value, s"must be the same entry $i\n")
227    }
228    io.enq.resp(i) := sqIdx
229  }
230  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
231
232  /**
233    * Update addr/dataReadyPtr when issue from rs
234    */
235  // update issuePtr
236  val IssuePtrMoveStride = 4
237  require(IssuePtrMoveStride >= 2)
238
239  val addrReadyLookupVec = (0 until IssuePtrMoveStride).map(addrReadyPtrExt + _.U)
240  val addrReadyLookup = addrReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || addrvalid(ptr.value)) && ptr =/= enqPtrExt(0))
241  val nextAddrReadyPtr = addrReadyPtrExt + PriorityEncoder(VecInit(addrReadyLookup.map(!_) :+ true.B))
242  addrReadyPtrExt := nextAddrReadyPtr
243
244  (0 until StoreQueueSize).map(i => {
245    io.stAddrReadyVec(i) := RegNext(allocated(i) && (mmio(i) || addrvalid(i)))
246  })
247
248  when (io.brqRedirect.valid) {
249    addrReadyPtrExt := Mux(
250      isAfter(cmtPtrExt(0), deqPtrExt(0)),
251      cmtPtrExt(0),
252      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
253    )
254  }
255
256  io.stAddrReadySqPtr := addrReadyPtrExt
257
258  // update
259  val dataReadyLookupVec = (0 until IssuePtrMoveStride).map(dataReadyPtrExt + _.U)
260  val dataReadyLookup = dataReadyLookupVec.map(ptr => allocated(ptr.value) && (mmio(ptr.value) || datavalid(ptr.value)) && ptr =/= enqPtrExt(0))
261  val nextDataReadyPtr = dataReadyPtrExt + PriorityEncoder(VecInit(dataReadyLookup.map(!_) :+ true.B))
262  dataReadyPtrExt := nextDataReadyPtr
263
264  (0 until StoreQueueSize).map(i => {
265    io.stDataReadyVec(i) := RegNext(allocated(i) && (mmio(i) || datavalid(i)))
266  })
267
268  when (io.brqRedirect.valid) {
269    dataReadyPtrExt := Mux(
270      isAfter(cmtPtrExt(0), deqPtrExt(0)),
271      cmtPtrExt(0),
272      deqPtrExtNext(0) // for mmio insts, deqPtr may be ahead of cmtPtr
273    )
274  }
275
276  io.stDataReadySqPtr := dataReadyPtrExt
277  io.stIssuePtr := enqPtrExt(0)
278  io.sqDeqPtr := deqPtrExt(0)
279
280  /**
281    * Writeback store from store units
282    *
283    * Most store instructions writeback to regfile in the previous cycle.
284    * However,
285    *   (1) For an mmio instruction with exceptions, we need to mark it as addrvalid
286    * (in this way it will trigger an exception when it reaches ROB's head)
287    * instead of pending to avoid sending them to lower level.
288    *   (2) For an mmio instruction without exceptions, we mark it as pending.
289    * When the instruction reaches ROB's head, StoreQueue sends it to uncache channel.
290    * Upon receiving the response, StoreQueue writes back the instruction
291    * through arbiter with store units. It will later commit as normal.
292    */
293
294  // Write addr to sq
295  for (i <- 0 until StorePipelineWidth) {
296    paddrModule.io.wen(i) := false.B
297    vaddrModule.io.wen(i) := false.B
298    dataModule.io.mask.wen(i) := false.B
299    val stWbIndex = io.storeAddrIn(i).bits.uop.sqIdx.value
300    when (io.storeAddrIn(i).fire()) {
301      val addr_valid = !io.storeAddrIn(i).bits.miss
302      addrvalid(stWbIndex) := addr_valid //!io.storeAddrIn(i).bits.mmio
303      // pending(stWbIndex) := io.storeAddrIn(i).bits.mmio
304
305      paddrModule.io.waddr(i) := stWbIndex
306      paddrModule.io.wdata(i) := io.storeAddrIn(i).bits.paddr
307      paddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
308      paddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
309      paddrModule.io.wen(i) := true.B
310
311      vaddrModule.io.waddr(i) := stWbIndex
312      vaddrModule.io.wdata(i) := io.storeAddrIn(i).bits.vaddr
313      vaddrModule.io.wmask(i) := io.storeAddrIn(i).bits.mask
314      vaddrModule.io.wlineflag(i) := io.storeAddrIn(i).bits.wlineflag
315      vaddrModule.io.wen(i) := true.B
316
317      debug_paddr(paddrModule.io.waddr(i)) := paddrModule.io.wdata(i)
318
319      // mmio(stWbIndex) := io.storeAddrIn(i).bits.mmio
320
321      uop(stWbIndex).ctrl := io.storeAddrIn(i).bits.uop.ctrl
322      uop(stWbIndex).debugInfo := io.storeAddrIn(i).bits.uop.debugInfo
323      XSInfo("store addr write to sq idx %d pc 0x%x miss:%d vaddr %x paddr %x mmio %x\n",
324        io.storeAddrIn(i).bits.uop.sqIdx.value,
325        io.storeAddrIn(i).bits.uop.cf.pc,
326        io.storeAddrIn(i).bits.miss,
327        io.storeAddrIn(i).bits.vaddr,
328        io.storeAddrIn(i).bits.paddr,
329        io.storeAddrIn(i).bits.mmio
330      )
331    }
332
333    // re-replinish mmio, for pma/pmp will get mmio one cycle later
334    val storeAddrInFireReg = RegNext(io.storeAddrIn(i).fire() && !io.storeAddrIn(i).bits.miss)
335    val stWbIndexReg = RegNext(stWbIndex)
336    when (storeAddrInFireReg) {
337      pending(stWbIndexReg) := io.storeAddrInRe(i).mmio
338      mmio(stWbIndexReg) := io.storeAddrInRe(i).mmio
339      atomic(stWbIndexReg) := io.storeAddrInRe(i).atomic
340    }
341
342    when(vaddrModule.io.wen(i)){
343      debug_vaddr(vaddrModule.io.waddr(i)) := vaddrModule.io.wdata(i)
344    }
345  }
346
347  // Write data to sq
348  // Now store data pipeline is actually 2 stages
349  for (i <- 0 until StorePipelineWidth) {
350    dataModule.io.data.wen(i) := false.B
351    val stWbIndex = io.storeDataIn(i).bits.uop.sqIdx.value
352    // sq data write takes 2 cycles:
353    // sq data write s0
354    when (io.storeDataIn(i).fire()) {
355      // send data write req to data module
356      dataModule.io.data.waddr(i) := stWbIndex
357      dataModule.io.data.wdata(i) := Mux(io.storeDataIn(i).bits.uop.ctrl.fuOpType === LSUOpType.cbo_zero,
358        0.U,
359        genWdata(io.storeDataIn(i).bits.data, io.storeDataIn(i).bits.uop.ctrl.fuOpType(1,0))
360      )
361      dataModule.io.data.wen(i) := true.B
362
363      debug_data(dataModule.io.data.waddr(i)) := dataModule.io.data.wdata(i)
364
365      XSInfo("store data write to sq idx %d pc 0x%x data %x -> %x\n",
366        io.storeDataIn(i).bits.uop.sqIdx.value,
367        io.storeDataIn(i).bits.uop.cf.pc,
368        io.storeDataIn(i).bits.data,
369        dataModule.io.data.wdata(i)
370      )
371    }
372    // sq data write s1
373    when (
374      RegNext(io.storeDataIn(i).fire())
375      // && !RegNext(io.storeDataIn(i).bits.uop).robIdx.needFlush(io.brqRedirect)
376    ) {
377      datavalid(RegNext(stWbIndex)) := true.B
378    }
379  }
380
381  // Write mask to sq
382  for (i <- 0 until StorePipelineWidth) {
383    // sq mask write s0
384    when (io.storeMaskIn(i).fire()) {
385      // send data write req to data module
386      dataModule.io.mask.waddr(i) := io.storeMaskIn(i).bits.sqIdx.value
387      dataModule.io.mask.wdata(i) := io.storeMaskIn(i).bits.mask
388      dataModule.io.mask.wen(i) := true.B
389    }
390  }
391
392  /**
393    * load forward query
394    *
395    * Check store queue for instructions that is older than the load.
396    * The response will be valid at the next cycle after req.
397    */
398  // check over all lq entries and forward data from the first matched store
399  for (i <- 0 until LoadPipelineWidth) {
400    // Compare deqPtr (deqPtr) and forward.sqIdx, we have two cases:
401    // (1) if they have the same flag, we need to check range(tail, sqIdx)
402    // (2) if they have different flags, we need to check range(tail, VirtualLoadQueueSize) and range(0, sqIdx)
403    // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, VirtualLoadQueueSize))
404    // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
405    // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
406    val differentFlag = deqPtrExt(0).flag =/= io.forward(i).sqIdx.flag
407    val forwardMask = io.forward(i).sqIdxMask
408    // all addrvalid terms need to be checked
409    val addrValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && allocated(i))))
410    val dataValidVec = WireInit(VecInit((0 until StoreQueueSize).map(i => datavalid(i))))
411    val allValidVec  = WireInit(VecInit((0 until StoreQueueSize).map(i => addrvalid(i) && datavalid(i) && allocated(i))))
412
413    val storeSetHitVec =
414      if (LFSTEnable) {
415        WireInit(VecInit((0 until StoreQueueSize).map(j => io.forward(i).uop.cf.loadWaitBit && uop(j).robIdx === io.forward(i).uop.cf.waitForRobIdx)))
416      } else {
417        WireInit(VecInit((0 until StoreQueueSize).map(j => uop(j).cf.storeSetHit && uop(j).cf.ssid === io.forward(i).uop.cf.ssid)))
418      }
419
420    val forwardMask1 = Mux(differentFlag, ~deqMask, deqMask ^ forwardMask)
421    val forwardMask2 = Mux(differentFlag, forwardMask, 0.U(StoreQueueSize.W))
422    val canForward1 = forwardMask1 & allValidVec.asUInt
423    val canForward2 = forwardMask2 & allValidVec.asUInt
424    val needForward = Mux(differentFlag, ~deqMask | forwardMask, deqMask ^ forwardMask)
425
426    XSDebug(p"$i f1 ${Binary(canForward1)} f2 ${Binary(canForward2)} " +
427      p"sqIdx ${io.forward(i).sqIdx} pa ${Hexadecimal(io.forward(i).paddr)}\n"
428    )
429
430    // do real fwd query (cam lookup in load_s1)
431    dataModule.io.needForward(i)(0) := canForward1 & vaddrModule.io.forwardMmask(i).asUInt
432    dataModule.io.needForward(i)(1) := canForward2 & vaddrModule.io.forwardMmask(i).asUInt
433
434    vaddrModule.io.forwardMdata(i) := io.forward(i).vaddr
435    vaddrModule.io.forwardDataMask(i) := io.forward(i).mask
436    paddrModule.io.forwardMdata(i) := io.forward(i).paddr
437    paddrModule.io.forwardDataMask(i) := io.forward(i).mask
438
439
440    // vaddr cam result does not equal to paddr cam result
441    // replay needed
442    // val vpmaskNotEqual = ((paddrModule.io.forwardMmask(i).asUInt ^ vaddrModule.io.forwardMmask(i).asUInt) & needForward) =/= 0.U
443    // val vaddrMatchFailed = vpmaskNotEqual && io.forward(i).valid
444    val vpmaskNotEqual = (
445      (RegNext(paddrModule.io.forwardMmask(i).asUInt) ^ RegNext(vaddrModule.io.forwardMmask(i).asUInt)) &
446      RegNext(needForward) &
447      RegNext(addrValidVec.asUInt)
448    ) =/= 0.U
449    val vaddrMatchFailed = vpmaskNotEqual && RegNext(io.forward(i).valid)
450    when (vaddrMatchFailed) {
451      XSInfo("vaddrMatchFailed: pc %x pmask %x vmask %x\n",
452        RegNext(io.forward(i).uop.cf.pc),
453        RegNext(needForward & paddrModule.io.forwardMmask(i).asUInt),
454        RegNext(needForward & vaddrModule.io.forwardMmask(i).asUInt)
455      );
456    }
457    XSPerfAccumulate("vaddr_match_failed", vpmaskNotEqual)
458    XSPerfAccumulate("vaddr_match_really_failed", vaddrMatchFailed)
459
460    // Fast forward mask will be generated immediately (load_s1)
461    io.forward(i).forwardMaskFast := dataModule.io.forwardMaskFast(i)
462
463    // Forward result will be generated 1 cycle later (load_s2)
464    io.forward(i).forwardMask := dataModule.io.forwardMask(i)
465    io.forward(i).forwardData := dataModule.io.forwardData(i)
466    // If addr match, data not ready, mark it as dataInvalid
467    // load_s1: generate dataInvalid in load_s1 to set fastUop
468    val dataInvalidMask1 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask1.asUInt)
469    val dataInvalidMask2 = (addrValidVec.asUInt & ~dataValidVec.asUInt & vaddrModule.io.forwardMmask(i).asUInt & forwardMask2.asUInt)
470    val dataInvalidMask = dataInvalidMask1 | dataInvalidMask2
471    io.forward(i).dataInvalidFast := dataInvalidMask.orR
472
473    // make chisel happy
474    val dataInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
475    dataInvalidMask1Reg := RegNext(dataInvalidMask1)
476    // make chisel happy
477    val dataInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
478    dataInvalidMask2Reg := RegNext(dataInvalidMask2)
479    val dataInvalidMaskReg = dataInvalidMask1Reg | dataInvalidMask2Reg
480
481    // If SSID match, address not ready, mark it as addrInvalid
482    // load_s2: generate addrInvalid
483    val addrInvalidMask1 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask1.asUInt)
484    val addrInvalidMask2 = (~addrValidVec.asUInt & storeSetHitVec.asUInt & forwardMask2.asUInt)
485    // make chisel happy
486    val addrInvalidMask1Reg = Wire(UInt(StoreQueueSize.W))
487    addrInvalidMask1Reg := RegNext(addrInvalidMask1)
488    // make chisel happy
489    val addrInvalidMask2Reg = Wire(UInt(StoreQueueSize.W))
490    addrInvalidMask2Reg := RegNext(addrInvalidMask2)
491    val addrInvalidMaskReg = addrInvalidMask1Reg | addrInvalidMask2Reg
492
493    // load_s2
494    io.forward(i).dataInvalid := RegNext(io.forward(i).dataInvalidFast)
495    // check if vaddr forward mismatched
496    io.forward(i).matchInvalid := vaddrMatchFailed
497
498    // data invalid sq index
499    // check whether false fail
500    // check flag
501    val s2_differentFlag = RegNext(differentFlag)
502    val s2_enqPtrExt = RegNext(enqPtrExt(0))
503    val s2_deqPtrExt = RegNext(deqPtrExt(0))
504
505    // addr invalid sq index
506    // make chisel happy
507    val addrInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
508    addrInvalidMaskRegWire := addrInvalidMaskReg
509    val addrInvalidFlag = addrInvalidMaskRegWire.orR
510    val hasInvalidAddr = (~addrValidVec.asUInt & needForward).orR
511
512    val addrInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask1Reg))))
513    val addrInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(addrInvalidMask2Reg))))
514    val addrInvalidSqIdx = Mux(addrInvalidMask2Reg.orR, addrInvalidSqIdx2, addrInvalidSqIdx1)
515
516    // store-set content management
517    //                +-----------------------+
518    //                | Search a SSID for the |
519    //                |    load operation     |
520    //                +-----------------------+
521    //                           |
522    //                           V
523    //                 +-------------------+
524    //                 | load wait strict? |
525    //                 +-------------------+
526    //                           |
527    //                           V
528    //               +----------------------+
529    //            Set|                      |Clean
530    //               V                      V
531    //  +------------------------+   +------------------------------+
532    //  | Waiting for all older  |   | Wait until the corresponding |
533    //  |   stores operations    |   | older store operations       |
534    //  +------------------------+   +------------------------------+
535
536
537
538    when (RegNext(io.forward(i).uop.cf.loadWaitStrict)) {
539      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx - 1.U)
540    } .elsewhen (addrInvalidFlag) {
541      io.forward(i).addrInvalidSqIdx.flag := Mux(!s2_differentFlag || addrInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
542      io.forward(i).addrInvalidSqIdx.value := addrInvalidSqIdx
543    } .otherwise {
544      // may be store inst has been written to sbuffer already.
545      io.forward(i).addrInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
546    }
547    io.forward(i).addrInvalid := Mux(RegNext(io.forward(i).uop.cf.loadWaitStrict), RegNext(hasInvalidAddr), addrInvalidFlag)
548
549    // data invalid sq index
550    // make chisel happy
551    val dataInvalidMaskRegWire = Wire(UInt(StoreQueueSize.W))
552    dataInvalidMaskRegWire := dataInvalidMaskReg
553    val dataInvalidFlag = dataInvalidMaskRegWire.orR
554
555    val dataInvalidSqIdx1 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask1Reg))))
556    val dataInvalidSqIdx2 = OHToUInt(Reverse(PriorityEncoderOH(Reverse(dataInvalidMask2Reg))))
557    val dataInvalidSqIdx = Mux(dataInvalidMask2Reg.orR, dataInvalidSqIdx2, dataInvalidSqIdx1)
558
559    when (dataInvalidFlag) {
560      io.forward(i).dataInvalidSqIdx.flag := Mux(!s2_differentFlag || dataInvalidSqIdx >= s2_deqPtrExt.value, s2_deqPtrExt.flag, s2_enqPtrExt.flag)
561      io.forward(i).dataInvalidSqIdx.value := dataInvalidSqIdx
562    } .otherwise {
563      // may be store inst has been written to sbuffer already.
564      io.forward(i).dataInvalidSqIdx := RegNext(io.forward(i).uop.sqIdx)
565    }
566  }
567
568  /**
569    * Memory mapped IO / other uncached operations
570    *
571    * States:
572    * (1) writeback from store units: mark as pending
573    * (2) when they reach ROB's head, they can be sent to uncache channel
574    * (3) response from uncache channel: mark as datavalidmask.wen
575    * (4) writeback to ROB (and other units): mark as writebacked
576    * (5) ROB commits the instruction: same as normal instructions
577    */
578  //(2) when they reach ROB's head, they can be sent to uncache channel
579  val s_idle :: s_req :: s_resp :: s_wb :: s_wait :: Nil = Enum(5)
580  val uncacheState = RegInit(s_idle)
581  switch(uncacheState) {
582    is(s_idle) {
583      when(RegNext(io.rob.pendingst && pending(deqPtr) && allocated(deqPtr) && datavalid(deqPtr) && addrvalid(deqPtr))) {
584        uncacheState := s_req
585      }
586    }
587    is(s_req) {
588      when (io.uncache.req.fire) {
589        when (io.uncacheOutstanding) {
590          uncacheState := s_wb
591        } .otherwise {
592          uncacheState := s_resp
593        }
594      }
595    }
596    is(s_resp) {
597      when(io.uncache.resp.fire()) {
598        uncacheState := s_wb
599      }
600    }
601    is(s_wb) {
602      when (io.mmioStout.fire()) {
603        uncacheState := s_wait
604      }
605    }
606    is(s_wait) {
607      when(commitCount > 0.U) {
608        uncacheState := s_idle // ready for next mmio
609      }
610    }
611  }
612  io.uncache.req.valid := uncacheState === s_req
613
614  io.uncache.req.bits := DontCare
615  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XWR
616  io.uncache.req.bits.addr := paddrModule.io.rdata(0) // data(deqPtr) -> rdata(0)
617  io.uncache.req.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data)
618  io.uncache.req.bits.mask := shiftMaskToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).mask)
619
620  // CBO op type check can be delayed for 1 cycle,
621  // as uncache op will not start in s_idle
622  val cbo_mmio_addr = paddrModule.io.rdata(0) >> 2 << 2 // clear lowest 2 bits for op
623  val cbo_mmio_op = 0.U //TODO
624  val cbo_mmio_data = cbo_mmio_addr | cbo_mmio_op
625  when(RegNext(LSUOpType.isCbo(uop(deqPtr).ctrl.fuOpType))){
626    io.uncache.req.bits.addr := DontCare // TODO
627    io.uncache.req.bits.data := paddrModule.io.rdata(0)
628    io.uncache.req.bits.mask := DontCare // TODO
629  }
630
631  io.uncache.req.bits.atomic := atomic(RegNext(rdataPtrExtNext(0)).value)
632
633  when(io.uncache.req.fire()){
634    // mmio store should not be committed until uncache req is sent
635    pending(deqPtr) := false.B
636
637    XSDebug(
638      p"uncache req: pc ${Hexadecimal(uop(deqPtr).cf.pc)} " +
639      p"addr ${Hexadecimal(io.uncache.req.bits.addr)} " +
640      p"data ${Hexadecimal(io.uncache.req.bits.data)} " +
641      p"op ${Hexadecimal(io.uncache.req.bits.cmd)} " +
642      p"mask ${Hexadecimal(io.uncache.req.bits.mask)}\n"
643    )
644  }
645
646  // (3) response from uncache channel: mark as datavalid
647  io.uncache.resp.ready := true.B
648
649  // (4) writeback to ROB (and other units): mark as writebacked
650  io.mmioStout.valid := uncacheState === s_wb
651  io.mmioStout.bits.uop := uop(deqPtr)
652  io.mmioStout.bits.uop.sqIdx := deqPtrExt(0)
653  io.mmioStout.bits.data := shiftDataToLow(paddrModule.io.rdata(0), dataModule.io.rdata(0).data) // dataModule.io.rdata.read(deqPtr)
654  io.mmioStout.bits.redirectValid := false.B
655  io.mmioStout.bits.redirect := DontCare
656  io.mmioStout.bits.debug.isMMIO := true.B
657  io.mmioStout.bits.debug.paddr := DontCare
658  io.mmioStout.bits.debug.isPerfCnt := false.B
659  io.mmioStout.bits.fflags := DontCare
660  io.mmioStout.bits.debug.vaddr := DontCare
661  // Remove MMIO inst from store queue after MMIO request is being sent
662  // That inst will be traced by uncache state machine
663  when (io.mmioStout.fire()) {
664    allocated(deqPtr) := false.B
665  }
666
667  /**
668    * ROB commits store instructions (mark them as committed)
669    *
670    * (1) When store commits, mark it as committed.
671    * (2) They will not be cancelled and can be sent to lower level.
672    */
673  XSError(uncacheState =/= s_idle && uncacheState =/= s_wait && commitCount > 0.U,
674   "should not commit instruction when MMIO has not been finished\n")
675  for (i <- 0 until CommitWidth) {
676    when (commitCount > i.U) { // MMIO inst is not in progress
677      if(i == 0){
678        // MMIO inst should not update committed flag
679        // Note that commit count has been delayed for 1 cycle
680        when(uncacheState === s_idle){
681          committed(cmtPtrExt(0).value) := true.B
682        }
683      } else {
684        committed(cmtPtrExt(i).value) := true.B
685      }
686    }
687  }
688  cmtPtrExt := cmtPtrExt.map(_ + commitCount)
689
690  // committed stores will not be cancelled and can be sent to lower level.
691  // remove retired insts from sq, add retired store to sbuffer
692
693  // Read data from data module
694  // As store queue grows larger and larger, time needed to read data from data
695  // module keeps growing higher. Now we give data read a whole cycle.
696
697  val mmioStall = mmio(rdataPtrExt(0).value)
698  for (i <- 0 until EnsbufferWidth) {
699    val ptr = rdataPtrExt(i).value
700    dataBuffer.io.enq(i).valid := allocated(ptr) && committed(ptr) && !mmioStall
701    // Note that store data/addr should both be valid after store's commit
702    assert(!dataBuffer.io.enq(i).valid || allvalid(ptr))
703    dataBuffer.io.enq(i).bits.addr  := paddrModule.io.rdata(i)
704    dataBuffer.io.enq(i).bits.vaddr := vaddrModule.io.rdata(i)
705    dataBuffer.io.enq(i).bits.data  := dataModule.io.rdata(i).data
706    dataBuffer.io.enq(i).bits.mask  := dataModule.io.rdata(i).mask
707    dataBuffer.io.enq(i).bits.wline := paddrModule.io.rlineflag(i)
708    dataBuffer.io.enq(i).bits.sqPtr := rdataPtrExt(i)
709  }
710
711  // Send data stored in sbufferReqBitsReg to sbuffer
712  for (i <- 0 until EnsbufferWidth) {
713    io.sbuffer(i).valid := dataBuffer.io.deq(i).valid
714    dataBuffer.io.deq(i).ready := io.sbuffer(i).ready
715    // Write line request should have all 1 mask
716    assert(!(io.sbuffer(i).valid && io.sbuffer(i).bits.wline && !io.sbuffer(i).bits.mask.andR))
717    io.sbuffer(i).bits := DontCare
718    io.sbuffer(i).bits.cmd   := MemoryOpConstants.M_XWR
719    io.sbuffer(i).bits.addr  := dataBuffer.io.deq(i).bits.addr
720    io.sbuffer(i).bits.vaddr := dataBuffer.io.deq(i).bits.vaddr
721    io.sbuffer(i).bits.data  := dataBuffer.io.deq(i).bits.data
722    io.sbuffer(i).bits.mask  := dataBuffer.io.deq(i).bits.mask
723    io.sbuffer(i).bits.wline := dataBuffer.io.deq(i).bits.wline
724
725    // io.sbuffer(i).fire() is RegNexted, as sbuffer data write takes 2 cycles.
726    // Before data write finish, sbuffer is unable to provide store to load
727    // forward data. As an workaround, deqPtrExt and allocated flag update
728    // is delayed so that load can get the right data from store queue.
729    val ptr = dataBuffer.io.deq(i).bits.sqPtr.value
730    when (RegNext(io.sbuffer(i).fire())) {
731      allocated(RegEnable(ptr, io.sbuffer(i).fire())) := false.B
732      XSDebug("sbuffer "+i+" fire: ptr %d\n", ptr)
733    }
734  }
735  (1 until EnsbufferWidth).foreach(i => when(io.sbuffer(i).fire) { assert(io.sbuffer(i - 1).fire) })
736  if (coreParams.dcacheParametersOpt.isEmpty) {
737    for (i <- 0 until EnsbufferWidth) {
738      val ptr = deqPtrExt(i).value
739      val fakeRAM = Module(new RAMHelper(64L * 1024 * 1024 * 1024))
740      fakeRAM.clk   := clock
741      fakeRAM.en    := allocated(ptr) && committed(ptr) && !mmio(ptr)
742      fakeRAM.rIdx  := 0.U
743      fakeRAM.wIdx  := (paddrModule.io.rdata(i) - "h80000000".U) >> 3
744      fakeRAM.wdata := Mux(paddrModule.io.rdata(i)(3), dataModule.io.rdata(i).data(127,64), dataModule.io.rdata(i).data(63,0))
745      fakeRAM.wmask := Mux(paddrModule.io.rdata(i)(3), MaskExpand(dataModule.io.rdata(i).mask(15,8)), MaskExpand(dataModule.io.rdata(i).mask(7,0)))
746      fakeRAM.wen   := allocated(ptr) && committed(ptr) && !mmio(ptr)
747    }
748  }
749
750  if (env.EnableDifftest) {
751    for (i <- 0 until EnsbufferWidth) {
752      val storeCommit = io.sbuffer(i).fire()
753      val waddr = SignExt(io.sbuffer(i).bits.addr, 64)
754      val sbufferMask = shiftMaskToLow(io.sbuffer(i).bits.addr, io.sbuffer(i).bits.mask)
755      val sbufferData = shiftDataToLow(io.sbuffer(i).bits.addr, io.sbuffer(i).bits.data)
756      val wmask = sbufferMask
757      val wdata = sbufferData & MaskExpand(sbufferMask)
758
759      val difftest = Module(new DifftestStoreEvent)
760      difftest.io.clock       := clock
761      difftest.io.coreid      := io.hartId
762      difftest.io.index       := i.U
763      difftest.io.valid       := RegNext(RegNext(storeCommit))
764      difftest.io.storeAddr   := RegNext(RegNext(waddr))
765      difftest.io.storeData   := RegNext(RegNext(wdata))
766      difftest.io.storeMask   := RegNext(RegNext(wmask))
767    }
768  }
769
770  // Read vaddr for mem exception
771  io.exceptionAddr.vaddr := vaddrModule.io.rdata(EnsbufferWidth)
772
773  // misprediction recovery / exception redirect
774  // invalidate sq term using robIdx
775  val needCancel = Wire(Vec(StoreQueueSize, Bool()))
776  for (i <- 0 until StoreQueueSize) {
777    needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) && !committed(i)
778    when (needCancel(i)) {
779      allocated(i) := false.B
780    }
781  }
782
783 /**
784* update pointers
785**/
786  val lastEnqCancel = PopCount(RegNext(VecInit(canEnqueue.zip(enqCancel).map(x => x._1 && x._2)))) // 1 cycle after redirect
787  val lastCycleCancelCount = PopCount(RegNext(needCancel)) // 1 cycle after redirect
788  val lastCycleRedirect = RegNext(io.brqRedirect.valid) // 1 cycle after redirect
789  val enqNumber = Mux(!lastCycleRedirect&&io.enq.canAccept && io.enq.lqCanAccept, PopCount(io.enq.req.map(_.valid)), 0.U) // 1 cycle after redirect
790
791  val lastlastCycleRedirect=RegNext(lastCycleRedirect)// 2 cycle after redirect
792  val redirectCancelCount = RegEnable(lastCycleCancelCount + lastEnqCancel, lastCycleRedirect) // 2 cycle after redirect
793
794  when (lastlastCycleRedirect) {
795    // we recover the pointers in 2 cycle after redirect for better timing
796    enqPtrExt := VecInit(enqPtrExt.map(_ - redirectCancelCount))
797  }.otherwise {
798    // lastCycleRedirect.valid or nornal case
799    // when lastCycleRedirect.valid, enqNumber === 0.U, enqPtrExt will not change
800    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
801  }
802  assert(!(lastCycleRedirect && enqNumber =/= 0.U))
803
804  deqPtrExt := deqPtrExtNext
805  rdataPtrExt := rdataPtrExtNext
806
807  // val dequeueCount = Mux(io.sbuffer(1).fire(), 2.U, Mux(io.sbuffer(0).fire() || io.mmioStout.fire(), 1.U, 0.U))
808
809  // If redirect at T0, sqCancelCnt is at T2
810  io.sqCancelCnt := redirectCancelCount
811  val ForceWriteUpper = Wire(UInt(log2Up(StoreQueueSize + 1).W))
812  ForceWriteUpper := Constantin.createRecord("ForceWriteUpper_"+p(XSCoreParamsKey).HartId.toString(), initValue = 60.U)
813  val ForceWriteLower = Wire(UInt(log2Up(StoreQueueSize + 1).W))
814  ForceWriteLower := Constantin.createRecord("ForceWriteLower_"+p(XSCoreParamsKey).HartId.toString(), initValue = 55.U)
815
816  val valid_cnt = PopCount(allocated)
817  io.force_write := RegNext(Mux(valid_cnt >= ForceWriteUpper, true.B, valid_cnt >= ForceWriteLower && io.force_write), init = false.B)
818
819  // io.sqempty will be used by sbuffer
820  // We delay it for 1 cycle for better timing
821  // When sbuffer need to check if it is empty, the pipeline is blocked, which means delay io.sqempty
822  // for 1 cycle will also promise that sq is empty in that cycle
823  io.sqEmpty := RegNext(
824    enqPtrExt(0).value === deqPtrExt(0).value &&
825    enqPtrExt(0).flag === deqPtrExt(0).flag
826  )
827  // perf counter
828  QueuePerf(StoreQueueSize, validCount, !allowEnqueue)
829  io.sqFull := !allowEnqueue
830  XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req
831  XSPerfAccumulate("mmioCnt", io.uncache.req.fire())
832  XSPerfAccumulate("mmio_wb_success", io.mmioStout.fire())
833  XSPerfAccumulate("mmio_wb_blocked", io.mmioStout.valid && !io.mmioStout.ready)
834  XSPerfAccumulate("validEntryCnt", distanceBetween(enqPtrExt(0), deqPtrExt(0)))
835  XSPerfAccumulate("cmtEntryCnt", distanceBetween(cmtPtrExt(0), deqPtrExt(0)))
836  XSPerfAccumulate("nCmtEntryCnt", distanceBetween(enqPtrExt(0), cmtPtrExt(0)))
837
838  val perfValidCount = distanceBetween(enqPtrExt(0), deqPtrExt(0))
839  val perfEvents = Seq(
840    ("mmioCycle      ", uncacheState =/= s_idle),
841    ("mmioCnt        ", io.uncache.req.fire()),
842    ("mmio_wb_success", io.mmioStout.fire()),
843    ("mmio_wb_blocked", io.mmioStout.valid && !io.mmioStout.ready),
844    ("stq_1_4_valid  ", (perfValidCount < (StoreQueueSize.U/4.U))),
845    ("stq_2_4_valid  ", (perfValidCount > (StoreQueueSize.U/4.U)) & (perfValidCount <= (StoreQueueSize.U/2.U))),
846    ("stq_3_4_valid  ", (perfValidCount > (StoreQueueSize.U/2.U)) & (perfValidCount <= (StoreQueueSize.U*3.U/4.U))),
847    ("stq_4_4_valid  ", (perfValidCount > (StoreQueueSize.U*3.U/4.U))),
848  )
849  generatePerfEvent()
850
851  // debug info
852  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt(0).flag, deqPtr)
853
854  def PrintFlag(flag: Bool, name: String): Unit = {
855    when(flag) {
856      XSDebug(false, true.B, name)
857    }.otherwise {
858      XSDebug(false, true.B, " ")
859    }
860  }
861
862  for (i <- 0 until StoreQueueSize) {
863    XSDebug(i + ": pc %x va %x pa %x data %x ",
864      uop(i).cf.pc,
865      debug_vaddr(i),
866      debug_paddr(i),
867      debug_data(i)
868    )
869    PrintFlag(allocated(i), "a")
870    PrintFlag(allocated(i) && addrvalid(i), "a")
871    PrintFlag(allocated(i) && datavalid(i), "d")
872    PrintFlag(allocated(i) && committed(i), "c")
873    PrintFlag(allocated(i) && pending(i), "p")
874    PrintFlag(allocated(i) && mmio(i), "m")
875    XSDebug(false, true.B, "\n")
876  }
877
878}
879