xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueReplay.scala (revision c61abc0c251b288ded38101b3c7ca47a9357e2ef)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16package xiangshan.mem
17
18import chisel3._
19import chisel3.util._
20import chipsalliance.rocketchip.config._
21import xiangshan._
22import xiangshan.backend.rob.{RobPtr, RobLsqIO}
23import xiangshan.cache._
24import xiangshan.backend.fu.fpu.FPU
25import xiangshan.cache._
26import xiangshan.frontend.FtqPtr
27import xiangshan.ExceptionNO._
28import xiangshan.cache.wpu.ReplayCarry
29import xiangshan.mem.mdp._
30import utils._
31import utility._
32
33object LoadReplayCauses {
34  // these causes have priority, lower coding has higher priority.
35  // when load replay happens, load unit will select highest priority
36  // from replay causes vector
37
38  /*
39   * Warning:
40   * ************************************************************
41   * * Don't change the priority. If the priority is changed,   *
42   * * deadlock may occur. If you really need to change or      *
43   * * add priority, please ensure that no deadlock will occur. *
44   * ************************************************************
45   *
46   */
47  // tlb miss check
48  val C_TM  = 0
49  // st-ld violation
50  val C_NK  = 1
51  // st-ld violation re-execute check
52  val C_MA  = 2
53  // store-to-load-forwarding check
54  val C_FF  = 3
55  // dcache replay check
56  val C_DR  = 4
57  // dcache miss check
58  val C_DM  = 5
59  // dcache bank conflict check
60  val C_BC  = 6
61  // RAR queue accept check
62  val C_RAR = 7
63  // RAW queue accept check
64  val C_RAW = 8
65  // total causes
66  val allCauses         = 9
67}
68
69class AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule {
70  val io = IO(new Bundle {
71    // NOTE: deq and enq may come at the same cycle.
72    val enq = Vec(numEnq, Input(UInt(numEntries.W)))
73    val deq = Input(UInt(numEntries.W))
74    val ready = Input(UInt(numEntries.W))
75    val out = Output(UInt(numEntries.W))
76  })
77
78  // age(i)(j): entry i enters queue before entry j
79  val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B)))
80  val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool())))
81
82  // to reduce reg usage, only use upper matrix
83  def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row)
84  def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row)
85  def isFlushed(i: Int): Bool = io.deq(i)
86  def isEnqueued(i: Int, numPorts: Int = -1): Bool = {
87    val takePorts = if (numPorts == -1) io.enq.length else numPorts
88    takePorts match {
89      case 0 => false.B
90      case 1 => io.enq.head(i) && !isFlushed(i)
91      case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i)
92    }
93  }
94
95  for ((row, i) <- nextAge.zipWithIndex) {
96    val thisValid = get_age(i, i) || isEnqueued(i)
97    for ((elem, j) <- row.zipWithIndex) {
98      when (isFlushed(i)) {
99        // (1) when entry i is flushed or dequeues, set row(i) to false.B
100        elem := false.B
101      }.elsewhen (isFlushed(j)) {
102        // (2) when entry j is flushed or dequeues, set column(j) to validVec
103        elem := thisValid
104      }.elsewhen (isEnqueued(i)) {
105        // (3) when entry i enqueues from port k,
106        // (3.1) if entry j enqueues from previous ports, set to false
107        // (3.2) otherwise, set to true if and only of entry j is invalid
108        // overall: !jEnqFromPreviousPorts && !jIsValid
109        val sel = io.enq.map(_(i))
110        val result = (0 until numEnq).map(k => isEnqueued(j, k))
111        // why ParallelMux: sel must be one-hot since enq is one-hot
112        elem := !get_age(j, j) && !ParallelMux(sel, result)
113      }.otherwise {
114        // default: unchanged
115        elem := get_age(i, j)
116      }
117      age(i)(j) := elem
118    }
119  }
120
121  def getOldest(get: (Int, Int) => Bool): UInt = {
122    VecInit((0 until numEntries).map(i => {
123      io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR
124    })).asUInt
125  }
126  val best = getOldest(get_age)
127  val nextBest = getOldest(get_next_age)
128
129  io.out := (if (regOut) best else nextBest)
130}
131
132object AgeDetector {
133  def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = {
134    val age = Module(new AgeDetector(numEntries, enq.length, regOut = true))
135    age.io.enq := enq
136    age.io.deq := deq
137    age.io.ready:= ready
138    val out = Wire(Valid(UInt(deq.getWidth.W)))
139    out.valid := age.io.out.orR
140    out.bits := age.io.out
141    out
142  }
143}
144
145
146class LoadQueueReplay(implicit p: Parameters) extends XSModule
147  with HasDCacheParameters
148  with HasCircularQueuePtrHelper
149  with HasLoadHelper
150  with HasPerfEvents
151{
152  val io = IO(new Bundle() {
153    // control
154    val redirect = Flipped(ValidIO(new Redirect))
155
156    // from load unit s3
157    val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle)))
158
159    // from sta s1
160    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
161
162    // from std s1
163    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput)))
164
165    // queue-based replay
166    val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle))
167    val refill = Flipped(ValidIO(new Refill))
168    val tl_d_channel = Input(new DcacheToLduForwardIO)
169
170    // from StoreQueue
171    val stAddrReadySqPtr = Input(new SqPtr)
172    val stAddrReadyVec   = Input(Vec(StoreQueueSize, Bool()))
173    val stDataReadySqPtr = Input(new SqPtr)
174    val stDataReadyVec   = Input(Vec(StoreQueueSize, Bool()))
175
176    //
177    val sqEmpty = Input(Bool())
178    val lqFull  = Output(Bool())
179    val ldWbPtr = Input(new LqPtr)
180    val rarFull = Input(Bool())
181    val rawFull = Input(Bool())
182    val l2_hint  = Input(Valid(new L2ToL1Hint()))
183    val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W)))
184  })
185
186  println("LoadQueueReplay size: " + LoadQueueReplaySize)
187  //  LoadQueueReplay field:
188  //  +-----------+---------+-------+-------------+--------+
189  //  | Allocated | MicroOp | VAddr |    Cause    |  Flags |
190  //  +-----------+---------+-------+-------------+--------+
191  //  Allocated   : entry has been allocated already
192  //  MicroOp     : inst's microOp
193  //  VAddr       : virtual address
194  //  Cause       : replay cause
195  //  Flags       : rar/raw queue allocate flags
196  val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value
197  val scheduled = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
198  val uop = Reg(Vec(LoadQueueReplaySize, new MicroOp))
199  val vaddrModule = Module(new LqVAddrModule(
200    gen = UInt(VAddrBits.W),
201    numEntries = LoadQueueReplaySize,
202    numRead = LoadPipelineWidth,
203    numWrite = LoadPipelineWidth,
204    numWBank = LoadQueueNWriteBanks,
205    numWDelay = 2,
206    numCamPort = 0))
207  vaddrModule.io := DontCare
208  val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W))))
209  val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W))))
210
211  // freeliset: store valid entries index.
212  // +---+---+--------------+-----+-----+
213  // | 0 | 1 |      ......  | n-2 | n-1 |
214  // +---+---+--------------+-----+-----+
215  val freeList = Module(new FreeList(
216    size = LoadQueueReplaySize,
217    allocWidth = LoadPipelineWidth,
218    freeWidth = 4,
219    moduleName = "LoadQueueReplay freelist"
220  ))
221  freeList.io := DontCare
222  /**
223   * used for re-select control
224   */
225  val credit = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
226  val selBlocked = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
227  //  Ptrs to control which cycle to choose
228  val blockPtrTlb = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
229  val blockPtrCache = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
230  val blockPtrOthers = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
231  //  Specific cycles to block
232  val blockCyclesTlb = Reg(Vec(4, UInt(ReSelectLen.W)))
233  blockCyclesTlb := io.tlbReplayDelayCycleCtrl
234  val blockCyclesCache = RegInit(VecInit(Seq(0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W))))
235  val blockCyclesOthers = RegInit(VecInit(Seq(0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W))))
236  val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr))
237  // block causes
238  val blockByTlbMiss = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
239  val blockByForwardFail = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
240  val blockByMemAmb = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
241  val blockByCacheMiss = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
242  val blockByRARReject = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
243  val blockByRAWReject = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
244  val blockByOthers = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
245  // DCache miss block
246  val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries).W)))))
247  // Has this load already updated dcache replacement?
248  val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
249  val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.C_DM))))
250  val creditUpdate = WireInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
251  (0 until LoadQueueReplaySize).map(i => {
252    creditUpdate(i) := Mux(credit(i) > 0.U(ReSelectLen.W), credit(i)-1.U(ReSelectLen.W), credit(i))
253    selBlocked(i) := creditUpdate(i) =/= 0.U(ReSelectLen.W) || credit(i) =/= 0.U(ReSelectLen.W)
254  })
255  val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(nWays, 0.U, false.B))))
256  val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
257
258  /**
259   * Enqueue
260   */
261  val canEnqueue = io.enq.map(_.valid)
262  val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect))
263  val needReplay = io.enq.map(enq => enq.bits.rep_info.need_rep)
264  val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR && !enq.bits.tlbMiss)
265  val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay)
266  val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => {
267    canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w)
268  }))
269  val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => {
270    canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w))
271  }))
272
273  // select LoadPipelineWidth valid index.
274  val lqFull = freeList.io.empty
275  val lqFreeNums = freeList.io.validCount
276
277  // replay logic
278  // release logic generation
279  val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
280  val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
281  val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
282  val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
283  val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt
284  val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt
285
286  // store data valid check
287  val stAddrReadyVec = io.stAddrReadyVec
288  val stDataReadyVec = io.stDataReadyVec
289
290  for (i <- 0 until LoadQueueReplaySize) {
291    // dequeue
292    //  FIXME: store*Ptr is not accurate
293    dataNotBlockVec(i) := !isBefore(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
294    addrNotBlockVec(i) := !isBefore(io.stAddrReadySqPtr, blockSqIdx(i)) || stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
295
296    // store address execute
297    storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
298      io.storeAddrIn(w).valid &&
299      !io.storeAddrIn(w).bits.miss &&
300      blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx
301    })).asUInt.orR // for better timing
302
303    // store data execute
304    storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
305      io.storeDataIn(w).valid &&
306      blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx
307    })).asUInt.orR // for better timing
308
309  }
310
311  // store addr issue check
312  val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
313  (0 until LoadQueueReplaySize).map(i => {
314    stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i)
315  })
316
317  // store data issue check
318  val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
319  (0 until LoadQueueReplaySize).map(i => {
320    stDataDeqVec(i) := allocated(i) && storeDataValidVec(i)
321  })
322
323  // update block condition
324  (0 until LoadQueueReplaySize).map(i => {
325    blockByForwardFail(i) := Mux(blockByForwardFail(i) && stDataDeqVec(i), false.B, blockByForwardFail(i))
326    blockByMemAmb(i) := Mux(blockByMemAmb(i) && stAddrDeqVec(i), false.B, blockByMemAmb(i))
327    blockByCacheMiss(i) := Mux(blockByCacheMiss(i) && io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i), false.B, blockByCacheMiss(i))
328
329    when (blockByCacheMiss(i) && io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i)) { creditUpdate(i) := 0.U }
330    when (blockByRARReject(i) && (!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr))) { blockByRARReject(i) := false.B }
331    when (blockByRAWReject(i) && (!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr))) { blockByRAWReject(i) := false.B }
332    when (blockByTlbMiss(i) && creditUpdate(i) === 0.U) { blockByTlbMiss(i) := false.B }
333    when (blockByOthers(i) && creditUpdate(i) === 0.U) { blockByOthers(i) := false.B }
334  })
335
336  //  Replay is splitted into 3 stages
337  require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
338  def getRemBits(input: UInt)(rem: Int): UInt = {
339    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt
340  }
341
342  def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = {
343    (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })
344  }
345
346  // stage1: select 2 entries and read their vaddr
347  val s0_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
348  val s1_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
349  val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
350  val s2_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
351  val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
352
353  // generate mask
354  val needCancel = Wire(Vec(LoadQueueReplaySize, Bool()))
355  // generate enq mask
356  val selectIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W)))
357  val s0_loadEnqFireMask = io.enq.map(x => x.fire && !x.bits.isLoadReplay).zip(selectIndexOH).map(x => Mux(x._1, x._2, 0.U))
358  val s0_remLoadEnqFireVec = s0_loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem))))
359  val s0_remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(s0_remLoadEnqFireVec.map(x => x(w))))
360
361  // generate free mask
362  val s0_loadFreeSelMask = needCancel.asUInt
363  val s0_remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(s0_loadFreeSelMask)(rem)))
364
365  // l2 hint wakes up cache missed load
366  // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1
367  val s0_loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => {
368    allocated(i) && !scheduled(i) && blockByCacheMiss(i) && missMSHRId(i) === io.l2_hint.bits.sourceId && io.l2_hint.valid
369  })).asUInt()
370  // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle
371  val s0_loadHintSelMask = s0_loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt
372  val s0_remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHintSelMask)(rem)))
373  val s0_hintSelValid = s0_loadHintSelMask.orR
374
375  // wake up cache missed load
376  (0 until LoadQueueReplaySize).foreach(i => {
377    when(s0_loadHintWakeMask(i)) {
378      blockByCacheMiss(i) := false.B
379      creditUpdate(i) := 0.U
380    }
381  })
382
383  // generate replay mask
384  // replay select priority is given as follow
385  // 1. hint wake up load
386  // 2. higher priority load
387  // 3. lower priority load
388  val s0_loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
389    val blocked = selBlocked(i) || blockByMemAmb(i) || blockByRARReject(i) || blockByRAWReject(i) || blockByOthers(i) || blockByForwardFail(i) || blockByCacheMiss(i) || blockByTlbMiss(i)
390    val hasHigherPriority = cause(i)(LoadReplayCauses.C_DM) || cause(i)(LoadReplayCauses.C_FF)
391    allocated(i) && !scheduled(i) && !blocked && hasHigherPriority
392  })).asUInt // use uint instead vec to reduce verilog lines
393  val s0_loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
394    val blocked = selBlocked(i) || blockByMemAmb(i) || blockByRARReject(i) || blockByRAWReject(i) || blockByOthers(i) || blockByForwardFail(i) || blockByCacheMiss(i) || blockByTlbMiss(i)
395    val hasLowerPriority = !cause(i)(LoadReplayCauses.C_DM) && !cause(i)(LoadReplayCauses.C_FF)
396    allocated(i) && !scheduled(i) && !blocked && hasLowerPriority
397  })).asUInt // use uint instead vec to reduce verilog lines
398  val s0_loadNormalReplaySelMask = s0_loadLowerPriorityReplaySelMask | s0_loadHigherPriorityReplaySelMask | s0_loadHintSelMask
399  val s0_remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadNormalReplaySelMask)(rem)))
400  val s0_loadPriorityReplaySelMask = Mux(s0_hintSelValid, s0_loadHintSelMask, Mux(s0_loadHigherPriorityReplaySelMask.orR, s0_loadHigherPriorityReplaySelMask, s0_loadLowerPriorityReplaySelMask))
401  val s0_remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadPriorityReplaySelMask)(rem)))
402
403  /******************************************************************************************************
404   * WARNING: Make sure that OldestSelectStride must less than or equal stages of load pipeline.        *
405   ******************************************************************************************************
406   */
407  val OldestSelectStride = 4
408  val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U)
409  val s0_oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => s0_loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j)))
410  val s0_remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.take(1)))(rem))
411  val s0_remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.drop(1)))(rem))
412  val s0_remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => {
413    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => {
414      Mux(VecInit(s0_remOldsetMatchMaskVec(rem).map(_(0))).asUInt.orR, s0_remOldsetMatchMaskVec(rem)(i)(0), s0_remOlderMatchMaskVec(rem)(i).reduce(_|_))
415    })).asUInt
416  }))
417  val s0_remOldestHintSelVec = s0_remOldestSelVec.zip(s0_remLoadHintSelMask).map {
418    case(oldestVec, hintVec) => oldestVec & hintVec
419  }
420
421  // select oldest logic
422  s0_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => {
423    // select enqueue earlest inst
424    val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, s0_remEnqSelVec(rport), s0_remFreeSelVec(rport), s0_remPriorityReplaySelVec(rport))
425    assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!")
426    val ageOldestValid = ageOldest.valid
427    val ageOldestIndexOH = ageOldest.bits
428
429    // select program order oldest
430    val l2HintFirst = io.l2_hint.valid && s0_remOldestHintSelVec(rport).orR
431    val issOldestValid = l2HintFirst || s0_remOldestSelVec(rport).orR
432    val issOldestIndexOH = Mux(l2HintFirst, PriorityEncoderOH(s0_remOldestHintSelVec(rport)), PriorityEncoderOH(s0_remOldestSelVec(rport)))
433
434    val oldest = Wire(Valid(UInt()))
435    val oldestSel = Mux(issOldestValid, issOldestIndexOH, ageOldestIndexOH)
436    val oldestBitsVec = Wire(Vec(LoadQueueReplaySize, Bool()))
437
438    require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
439    oldestBitsVec.foreach(e => e := false.B)
440    for (i <- 0 until LoadQueueReplaySize / LoadPipelineWidth) {
441      oldestBitsVec(i * LoadPipelineWidth + rport) := oldestSel(i)
442    }
443
444    oldest.valid := ageOldest.valid || issOldestValid
445    oldest.bits := OHToUInt(oldestBitsVec.asUInt)
446    oldest
447  }))
448
449
450  // Replay port reorder
451  class BalanceEntry extends XSBundle {
452    val balance = Bool()
453    val index   = UInt(log2Up(LoadQueueReplaySize).W)
454    val port    = UInt(log2Up(LoadPipelineWidth).W)
455  }
456
457  def balanceReOrder(sel: Seq[ValidIO[BalanceEntry]]): Seq[ValidIO[BalanceEntry]] = {
458    require(sel.length > 0)
459    val balancePick = ParallelPriorityMux(sel.map(x => (x.valid && x.bits.balance) -> x))
460    val reorderSel = Wire(Vec(sel.length, ValidIO(new BalanceEntry)))
461    (0 until sel.length).map(i =>
462      if (i == 0) {
463        when (balancePick.valid && balancePick.bits.balance) {
464          reorderSel(i) := balancePick
465        } .otherwise {
466          reorderSel(i) := sel(i)
467        }
468      } else {
469        when (balancePick.valid && balancePick.bits.balance && i.U === balancePick.bits.port) {
470          reorderSel(i) := sel(0)
471        } .otherwise {
472          reorderSel(i) := sel(i)
473        }
474      }
475    )
476    reorderSel
477  }
478
479  // stage2: send replay request to load unit
480  // replay cold down
481  val ColdDownCycles = 16
482  val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W))))
483  val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W))
484  ColdDownThreshold := Constantin.createRecord("ColdDownThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 12.U)
485  assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!")
486
487  def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold
488  def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold
489
490  val s1_balanceOldestSelExt = (0 until LoadPipelineWidth).map(i => {
491    val wrapper = Wire(Valid(new BalanceEntry))
492    wrapper.valid        := s1_oldestSel(i).valid
493    wrapper.bits.balance := cause(s1_oldestSel(i).bits)(LoadReplayCauses.C_BC)
494    wrapper.bits.index   := s1_oldestSel(i).bits
495    wrapper.bits.port    := i.U
496    wrapper
497  })
498
499  val s1_balanceOldestSel = VecInit(balanceReOrder(s1_balanceOldestSelExt))
500  for (i <- 0 until LoadPipelineWidth) {
501    val s0_can_go = s1_can_go(s1_balanceOldestSel(i).bits.port) || uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect)
502    val s0_cancel = uop(s0_oldestSel(i).bits).robIdx.needFlush(io.redirect)
503    val s0_oldestSelV = s0_oldestSel(i).valid && !s0_cancel
504    s1_oldestSel(i).valid := RegEnable(s0_oldestSelV, s0_can_go)
505    s1_oldestSel(i).bits := RegEnable(s0_oldestSel(i).bits, s0_can_go)
506
507    when (s0_can_go && s0_oldestSelV) {
508      scheduled(s0_oldestSel(i).bits) := true.B
509    }
510  }
511  val s2_cancelReplay = Wire(Vec(LoadPipelineWidth, Bool()))
512  for (i <- 0 until LoadPipelineWidth) {
513    val s1_cancel = uop(s1_balanceOldestSel(i).bits.index).robIdx.needFlush(io.redirect)
514    val s1_oldestSelV = s1_balanceOldestSel(i).valid && !s1_cancel
515    s1_can_go(i)          := Mux(s2_oldestSel(i).valid && !s2_cancelReplay(i), io.replay(i).ready && replayCanFire(i), true.B)
516    s2_oldestSel(i).valid := RegEnable(s1_oldestSelV, s1_can_go(i))
517    s2_oldestSel(i).bits  := RegEnable(s1_balanceOldestSel(i).bits.index, s1_can_go(i))
518
519    vaddrModule.io.ren(i) := s1_balanceOldestSel(i).valid && s1_can_go(i)
520    vaddrModule.io.raddr(i) := s1_balanceOldestSel(i).bits.index
521  }
522
523  for (i <- 0 until LoadPipelineWidth) {
524    val s1_replayIdx = s1_balanceOldestSel(i).bits.index
525    val s2_replayUop = RegEnable(uop(s1_replayIdx), s1_can_go(i))
526    val s2_replayMSHRId = RegEnable(missMSHRId(s1_replayIdx), s1_can_go(i))
527    val s2_replacementUpdated = RegEnable(replacementUpdated(s1_replayIdx), s1_can_go(i))
528    val s2_replayCauses = RegEnable(cause(s1_replayIdx), s1_can_go(i))
529    val s2_replayCarry = RegEnable(replayCarryReg(s1_replayIdx), s1_can_go(i))
530    val s2_replayCacheMissReplay = RegEnable(trueCacheMissReplay(s1_replayIdx), s1_can_go(i))
531    s2_cancelReplay(i) := s2_replayUop.robIdx.needFlush(io.redirect)
532
533    s2_can_go(i) := DontCare
534    io.replay(i).valid             := s2_oldestSel(i).valid && !s2_cancelReplay(i) && replayCanFire(i)
535    io.replay(i).bits              := DontCare
536    io.replay(i).bits.uop          := s2_replayUop
537    io.replay(i).bits.vaddr        := vaddrModule.io.rdata(i)
538    io.replay(i).bits.isFirstIssue := false.B
539    io.replay(i).bits.isLoadReplay := true.B
540    io.replay(i).bits.replayCarry  := s2_replayCarry
541    io.replay(i).bits.mshrid       := s2_replayMSHRId
542    io.replay(i).bits.replacementUpdated := s2_replacementUpdated
543    io.replay(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.C_DM)
544    io.replay(i).bits.schedIndex   := s2_oldestSel(i).bits
545
546    when (io.replay(i).fire) {
547      XSError(!allocated(s2_oldestSel(i).bits), p"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?")
548    }
549  }
550
551  // update cold counter
552  val lastReplay = RegNext(VecInit(io.replay.map(_.fire)))
553  for (i <- 0 until LoadPipelineWidth) {
554    when (lastReplay(i) && io.replay(i).fire) {
555      coldCounter(i) := coldCounter(i) + 1.U
556    } .elsewhen (coldDownNow(i)) {
557      coldCounter(i) := coldCounter(i) + 1.U
558    } .otherwise {
559      coldCounter(i) := 0.U
560    }
561  }
562
563  when(io.refill.valid) {
564    XSDebug("miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data)
565  }
566
567  //  LoadQueueReplay deallocate
568  val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool()))
569
570  // init
571  freeMaskVec.map(e => e := false.B)
572
573  // Allocate logic
574  val enqValidVec = Wire(Vec(LoadPipelineWidth, Bool()))
575  val enqIndexVec = Wire(Vec(LoadPipelineWidth, UInt()))
576
577  val newEnqueue = (0 until LoadPipelineWidth).map(i => {
578    needEnqueue(i) && !io.enq(i).bits.isLoadReplay
579  })
580
581  for ((enq, w) <- io.enq.zipWithIndex) {
582    vaddrModule.io.wen(w) := false.B
583    freeList.io.doAllocate(w) := false.B
584
585    freeList.io.allocateReq(w) := newEnqueue(w)
586
587    //  Allocated ready
588    enqValidVec(w) := freeList.io.canAllocate(w)
589    enqIndexVec(w) := Mux(enq.bits.isLoadReplay, enq.bits.schedIndex, freeList.io.allocateSlot(w))
590    selectIndexOH(w) := UIntToOH(enqIndexVec(w))
591    enq.ready := Mux(enq.bits.isLoadReplay, true.B, enqValidVec(w))
592
593    val enqIndex = enqIndexVec(w)
594    when (needEnqueue(w) && enq.ready) {
595
596      val debug_robIdx = enq.bits.uop.robIdx.asUInt
597      XSError(allocated(enqIndex) && !enq.bits.isLoadReplay, p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!")
598      XSError(hasExceptions(w), p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!")
599
600      freeList.io.doAllocate(w) := !enq.bits.isLoadReplay
601
602      //  Allocate new entry
603      allocated(enqIndex) := true.B
604      scheduled(enqIndex) := false.B
605      uop(enqIndex)       := enq.bits.uop
606
607      vaddrModule.io.wen(w)   := true.B
608      vaddrModule.io.waddr(w) := enqIndex
609      vaddrModule.io.wdata(w) := enq.bits.vaddr
610      debug_vaddr(enqIndex)   := enq.bits.vaddr
611
612      /**
613       * used for feedback and replay
614       */
615      // set flags
616      val replayInfo = enq.bits.rep_info
617      val dataInLastBeat = replayInfo.last_beat
618      cause(enqIndex) := replayInfo.cause.asUInt
619
620      // update credit
621      val blockCyclesTlbPtr = blockPtrTlb(enqIndex)
622      val blockCyclesCachePtr = blockPtrCache(enqIndex)
623      val blockCyclesOtherPtr = blockPtrOthers(enqIndex)
624      creditUpdate(enqIndex) := Mux(replayInfo.cause(LoadReplayCauses.C_TM), blockCyclesTlb(blockCyclesTlbPtr),
625                                Mux(replayInfo.cause(LoadReplayCauses.C_DM), blockCyclesCache(blockCyclesCachePtr) + dataInLastBeat, blockCyclesOthers(blockCyclesOtherPtr)))
626
627      // init
628      blockByTlbMiss(enqIndex)     := false.B
629      blockByMemAmb(enqIndex)   := false.B
630      blockByForwardFail(enqIndex) := false.B
631      blockByCacheMiss(enqIndex)   := false.B
632      blockByRARReject(enqIndex)   := false.B
633      blockByRAWReject(enqIndex)   := false.B
634      blockByOthers(enqIndex)      := false.B
635
636      // update block pointer
637      when (replayInfo.cause(LoadReplayCauses.C_DR)) {
638        // normal case: dcache replay
639        blockByOthers(enqIndex) := true.B
640        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
641      } .elsewhen (replayInfo.cause(LoadReplayCauses.C_BC) || replayInfo.cause(LoadReplayCauses.C_NK)) {
642        // normal case: bank conflict or schedule error
643        // can replay next cycle
644        creditUpdate(enqIndex) := 0.U
645        blockByOthers(enqIndex) := false.B
646      }
647
648      // special case: tlb miss
649      when (replayInfo.cause(LoadReplayCauses.C_TM)) {
650        blockByTlbMiss(enqIndex) := true.B
651        blockPtrTlb(enqIndex) := Mux(blockPtrTlb(enqIndex) === 3.U(2.W), blockPtrTlb(enqIndex), blockPtrTlb(enqIndex) + 1.U(2.W))
652      }
653
654      // special case: dcache miss
655      when (replayInfo.cause(LoadReplayCauses.C_DM) && enq.bits.handledByMSHR) {
656        blockByCacheMiss(enqIndex) := !replayInfo.full_fwd && //  dcache miss
657                                  !(io.tl_d_channel.valid && io.tl_d_channel.mshrid === replayInfo.mshr_id) // no refill in this cycle
658
659        blockPtrCache(enqIndex) := Mux(blockPtrCache(enqIndex) === 3.U(2.W), blockPtrCache(enqIndex), blockPtrCache(enqIndex) + 1.U(2.W))
660      }
661
662      // special case: st-ld violation
663      when (replayInfo.cause(LoadReplayCauses.C_MA)) {
664        blockByMemAmb(enqIndex) := true.B
665        blockSqIdx(enqIndex) := replayInfo.addr_inv_sq_idx
666        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
667      }
668
669      // special case: data forward fail
670      when (replayInfo.cause(LoadReplayCauses.C_FF)) {
671        blockByForwardFail(enqIndex) := true.B
672        blockSqIdx(enqIndex) := replayInfo.data_inv_sq_idx
673        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
674      }
675
676      // special case: rar reject
677      when (replayInfo.cause(LoadReplayCauses.C_RAR)) {
678        blockByRARReject(enqIndex) := true.B
679        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
680      }
681
682      // special case: raw reject
683      when (replayInfo.cause(LoadReplayCauses.C_RAW)) {
684        blockByRAWReject(enqIndex) := true.B
685        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
686      }
687
688      // extra info
689      replayCarryReg(enqIndex) := replayInfo.rep_carry
690      replacementUpdated(enqIndex) := enq.bits.replacementUpdated
691      // update mshr_id only when the load has already been handled by mshr
692      when(enq.bits.handledByMSHR) {
693        missMSHRId(enqIndex) := replayInfo.mshr_id
694      }
695      dataInLastBeatReg(enqIndex) := dataInLastBeat
696    }
697
698    //
699    val schedIndex = enq.bits.schedIndex
700    when (enq.valid && enq.bits.isLoadReplay) {
701      when (!needReplay(w) || hasExceptions(w)) {
702        allocated(schedIndex) := false.B
703        freeMaskVec(schedIndex) := true.B
704      } .otherwise {
705        scheduled(schedIndex) := false.B
706      }
707    }
708  }
709
710  // misprediction recovery / exception redirect
711  for (i <- 0 until LoadQueueReplaySize) {
712    needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i)
713    when (needCancel(i)) {
714      allocated(i) := false.B
715      freeMaskVec(i) := true.B
716    }
717  }
718
719  freeList.io.free := freeMaskVec.asUInt
720
721  io.lqFull := lqFull
722
723  // Topdown
724  val sourceVaddr = WireInit(0.U.asTypeOf(new Valid(UInt(VAddrBits.W))))
725
726  ExcitingUtils.addSink(sourceVaddr, s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf)
727
728  val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp))
729  (uop_wrapper.zipWithIndex).foreach {
730    case (u, i) => {
731      u.uop := uop(i)
732    }
733  }
734  val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === sourceVaddr.bits)}
735  val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => {
736    val (a_v, a_uop) = (a._1, a._2)
737    val (b_v, b_uop) = (b._1, b._2)
738
739    val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop),
740                  Mux(a_v, a_uop,
741                      Mux(b_v, b_uop,
742                                a_uop)))
743    (a_v || b_v, res)
744  })
745
746  val lq_match_bits = rob_head_lq_match._2.uop
747  val lq_match      = rob_head_lq_match._1 && sourceVaddr.valid
748  val lq_match_idx  = lq_match_bits.lqIdx.value
749
750  val rob_head_tlb_miss        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_TM)
751  val rob_head_nuke            = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_NK)
752  val rob_head_mem_amb         = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_MA)
753  val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_BC)
754  val rob_head_forward_fail    = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_FF)
755  val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DR)
756  val rob_head_dcache_miss     = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DM)
757  val rob_head_rar_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAR)
758  val rob_head_raw_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAW)
759  val rob_head_other_replay    = lq_match && (rob_head_rar_nack || rob_head_raw_nack || rob_head_forward_fail)
760
761  val rob_head_vio_replay = rob_head_nuke || rob_head_mem_amb
762
763  val rob_head_miss_in_dtlb = WireInit(false.B)
764  ExcitingUtils.addSink(rob_head_miss_in_dtlb, s"miss_in_dtlb_${coreParams.HartId}", ExcitingUtils.Perf)
765  ExcitingUtils.addSource(rob_head_tlb_miss && !rob_head_miss_in_dtlb, s"load_tlb_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
766  ExcitingUtils.addSource(rob_head_tlb_miss &&  rob_head_miss_in_dtlb, s"load_tlb_miss_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
767  ExcitingUtils.addSource(rob_head_vio_replay, s"load_vio_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
768  ExcitingUtils.addSource(rob_head_mshrfull_replay, s"load_mshr_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
769  // ExcitingUtils.addSource(rob_head_confilct_replay, s"load_l1_cache_stall_with_bank_conflict_${coreParams.HartId}", ExcitingUtils.Perf, true)
770  ExcitingUtils.addSource(rob_head_other_replay, s"rob_head_other_replay_${coreParams.HartId}", ExcitingUtils.Perf, true)
771  val perfValidCount = RegNext(PopCount(allocated))
772
773  //  perf cnt
774  val enqNumber               = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay))
775  val deqNumber               = PopCount(io.replay.map(_.fire))
776  val deqBlockCount           = PopCount(io.replay.map(r => r.valid && !r.ready))
777  val replayTlbMissCount      = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_TM)))
778  val replayMemAmbCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_NK)))
779  val replayNukeCount         = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_MA)))
780  val replayRARRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAR)))
781  val replayRAWRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAW)))
782  val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_BC)))
783  val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DR)))
784  val replayForwardFailCount  = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_FF)))
785  val replayDCacheMissCount   = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DM)))
786  XSPerfAccumulate("enq", enqNumber)
787  XSPerfAccumulate("deq", deqNumber)
788  XSPerfAccumulate("deq_block", deqBlockCount)
789  XSPerfAccumulate("replay_full", io.lqFull)
790  XSPerfAccumulate("replay_rar_nack", replayRARRejectCount)
791  XSPerfAccumulate("replay_raw_nack", replayRAWRejectCount)
792  XSPerfAccumulate("replay_nuke", replayNukeCount)
793  XSPerfAccumulate("replay_mem_amb", replayMemAmbCount)
794  XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount)
795  XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount)
796  XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount)
797  XSPerfAccumulate("replay_forward_fail", replayForwardFailCount)
798  XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount)
799  XSPerfAccumulate("replay_hint_wakeup", s0_hintSelValid)
800
801  val perfEvents: Seq[(String, UInt)] = Seq(
802    ("enq", enqNumber),
803    ("deq", deqNumber),
804    ("deq_block", deqBlockCount),
805    ("replay_full", io.lqFull),
806    ("replay_rar_nack", replayRARRejectCount),
807    ("replay_raw_nack", replayRAWRejectCount),
808    ("replay_nuke", replayNukeCount),
809    ("replay_mem_amb", replayMemAmbCount),
810    ("replay_tlb_miss", replayTlbMissCount),
811    ("replay_bank_conflict", replayBankConflictCount),
812    ("replay_dcache_replay", replayDCacheReplayCount),
813    ("replay_forward_fail", replayForwardFailCount),
814    ("replay_dcache_miss", replayDCacheMissCount),
815  )
816  generatePerfEvent()
817  // end
818}
819