1e4f69d78Ssfencevma/*************************************************************************************** 2e4f69d78Ssfencevma* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3e4f69d78Ssfencevma* Copyright (c) 2020-2021 Peng Cheng Laboratory 4e4f69d78Ssfencevma* 5e4f69d78Ssfencevma* XiangShan is licensed under Mulan PSL v2. 6e4f69d78Ssfencevma* You can use this software according to the terms and conditions of the Mulan PSL v2. 7e4f69d78Ssfencevma* You may obtain a copy of Mulan PSL v2 at: 8e4f69d78Ssfencevma* http://license.coscl.org.cn/MulanPSL2 9e4f69d78Ssfencevma* 10e4f69d78Ssfencevma* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11e4f69d78Ssfencevma* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12e4f69d78Ssfencevma* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13e4f69d78Ssfencevma* 14e4f69d78Ssfencevma* See the Mulan PSL v2 for more details. 15e4f69d78Ssfencevma***************************************************************************************/ 16e4f69d78Ssfencevmapackage xiangshan.mem 17e4f69d78Ssfencevma 18e4f69d78Ssfencevmaimport chisel3._ 19e4f69d78Ssfencevmaimport chisel3.util._ 208891a219SYinan Xuimport org.chipsalliance.cde.config._ 21e4f69d78Ssfencevmaimport xiangshan._ 22e4f69d78Ssfencevmaimport xiangshan.backend.rob.{RobPtr, RobLsqIO} 23e4f69d78Ssfencevmaimport xiangshan.cache._ 24e4f69d78Ssfencevmaimport xiangshan.backend.fu.fpu.FPU 25e4f69d78Ssfencevmaimport xiangshan.cache._ 26185e6164SHaoyuan Fengimport xiangshan.cache.mmu._ 27e4f69d78Ssfencevmaimport xiangshan.frontend.FtqPtr 28e4f69d78Ssfencevmaimport xiangshan.ExceptionNO._ 2904665835SMaxpicca-Liimport xiangshan.cache.wpu.ReplayCarry 30e4f69d78Ssfencevmaimport xiangshan.mem.mdp._ 31e4f69d78Ssfencevmaimport utils._ 32e4f69d78Ssfencevmaimport utility._ 33e4f69d78Ssfencevma 34e4f69d78Ssfencevmaobject LoadReplayCauses { 35e4f69d78Ssfencevma // these causes have priority, lower coding has higher priority. 36e4f69d78Ssfencevma // when load replay happens, load unit will select highest priority 37e4f69d78Ssfencevma // from replay causes vector 38e4f69d78Ssfencevma 39e4f69d78Ssfencevma /* 40e4f69d78Ssfencevma * Warning: 41e4f69d78Ssfencevma * ************************************************************ 42e4f69d78Ssfencevma * * Don't change the priority. If the priority is changed, * 43e4f69d78Ssfencevma * * deadlock may occur. If you really need to change or * 44e4f69d78Ssfencevma * * add priority, please ensure that no deadlock will occur. * 45e4f69d78Ssfencevma * ************************************************************ 46e4f69d78Ssfencevma * 47e4f69d78Ssfencevma */ 48e4f69d78Ssfencevma // st-ld violation re-execute check 49e50f3145Ssfencevma val C_MA = 0 50e50f3145Ssfencevma // tlb miss check 51e50f3145Ssfencevma val C_TM = 1 52e4f69d78Ssfencevma // store-to-load-forwarding check 53e50f3145Ssfencevma val C_FF = 2 54e4f69d78Ssfencevma // dcache replay check 55e50f3145Ssfencevma val C_DR = 3 56e4f69d78Ssfencevma // dcache miss check 57e50f3145Ssfencevma val C_DM = 4 58e50f3145Ssfencevma // wpu predict fail 59e50f3145Ssfencevma val C_WF = 5 6014a67055Ssfencevma // dcache bank conflict check 6114a67055Ssfencevma val C_BC = 6 62f2e8d419Ssfencevma // RAR queue accept check 6314a67055Ssfencevma val C_RAR = 7 64f2e8d419Ssfencevma // RAW queue accept check 6514a67055Ssfencevma val C_RAW = 8 66e50f3145Ssfencevma // st-ld violation 67e50f3145Ssfencevma val C_NK = 9 68e4f69d78Ssfencevma // total causes 69e50f3145Ssfencevma val allCauses = 10 70e4f69d78Ssfencevma} 71e4f69d78Ssfencevma 72e4f69d78Ssfencevmaclass AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule { 73e4f69d78Ssfencevma val io = IO(new Bundle { 74e4f69d78Ssfencevma // NOTE: deq and enq may come at the same cycle. 75e4f69d78Ssfencevma val enq = Vec(numEnq, Input(UInt(numEntries.W))) 76e4f69d78Ssfencevma val deq = Input(UInt(numEntries.W)) 77e4f69d78Ssfencevma val ready = Input(UInt(numEntries.W)) 78e4f69d78Ssfencevma val out = Output(UInt(numEntries.W)) 79e4f69d78Ssfencevma }) 80e4f69d78Ssfencevma 81e4f69d78Ssfencevma // age(i)(j): entry i enters queue before entry j 82e4f69d78Ssfencevma val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B))) 83e4f69d78Ssfencevma val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool()))) 84e4f69d78Ssfencevma 85e4f69d78Ssfencevma // to reduce reg usage, only use upper matrix 86e4f69d78Ssfencevma def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row) 87e4f69d78Ssfencevma def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row) 88e4f69d78Ssfencevma def isFlushed(i: Int): Bool = io.deq(i) 89e4f69d78Ssfencevma def isEnqueued(i: Int, numPorts: Int = -1): Bool = { 90e4f69d78Ssfencevma val takePorts = if (numPorts == -1) io.enq.length else numPorts 91e4f69d78Ssfencevma takePorts match { 92e4f69d78Ssfencevma case 0 => false.B 93e4f69d78Ssfencevma case 1 => io.enq.head(i) && !isFlushed(i) 94e4f69d78Ssfencevma case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i) 95e4f69d78Ssfencevma } 96e4f69d78Ssfencevma } 97e4f69d78Ssfencevma 98e4f69d78Ssfencevma for ((row, i) <- nextAge.zipWithIndex) { 99e4f69d78Ssfencevma val thisValid = get_age(i, i) || isEnqueued(i) 100e4f69d78Ssfencevma for ((elem, j) <- row.zipWithIndex) { 101e4f69d78Ssfencevma when (isFlushed(i)) { 102e4f69d78Ssfencevma // (1) when entry i is flushed or dequeues, set row(i) to false.B 103e4f69d78Ssfencevma elem := false.B 104e4f69d78Ssfencevma }.elsewhen (isFlushed(j)) { 105e4f69d78Ssfencevma // (2) when entry j is flushed or dequeues, set column(j) to validVec 106e4f69d78Ssfencevma elem := thisValid 107e4f69d78Ssfencevma }.elsewhen (isEnqueued(i)) { 108e4f69d78Ssfencevma // (3) when entry i enqueues from port k, 109e4f69d78Ssfencevma // (3.1) if entry j enqueues from previous ports, set to false 110e4f69d78Ssfencevma // (3.2) otherwise, set to true if and only of entry j is invalid 111e4f69d78Ssfencevma // overall: !jEnqFromPreviousPorts && !jIsValid 112e4f69d78Ssfencevma val sel = io.enq.map(_(i)) 113e4f69d78Ssfencevma val result = (0 until numEnq).map(k => isEnqueued(j, k)) 114e4f69d78Ssfencevma // why ParallelMux: sel must be one-hot since enq is one-hot 115e4f69d78Ssfencevma elem := !get_age(j, j) && !ParallelMux(sel, result) 116e4f69d78Ssfencevma }.otherwise { 117e4f69d78Ssfencevma // default: unchanged 118e4f69d78Ssfencevma elem := get_age(i, j) 119e4f69d78Ssfencevma } 120e4f69d78Ssfencevma age(i)(j) := elem 121e4f69d78Ssfencevma } 122e4f69d78Ssfencevma } 123e4f69d78Ssfencevma 124e4f69d78Ssfencevma def getOldest(get: (Int, Int) => Bool): UInt = { 125e4f69d78Ssfencevma VecInit((0 until numEntries).map(i => { 126e4f69d78Ssfencevma io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR 127e4f69d78Ssfencevma })).asUInt 128e4f69d78Ssfencevma } 129e4f69d78Ssfencevma val best = getOldest(get_age) 130e4f69d78Ssfencevma val nextBest = getOldest(get_next_age) 131e4f69d78Ssfencevma 132e4f69d78Ssfencevma io.out := (if (regOut) best else nextBest) 133e4f69d78Ssfencevma} 134e4f69d78Ssfencevma 135e4f69d78Ssfencevmaobject AgeDetector { 136e4f69d78Ssfencevma def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = { 137e4f69d78Ssfencevma val age = Module(new AgeDetector(numEntries, enq.length, regOut = true)) 138e4f69d78Ssfencevma age.io.enq := enq 139e4f69d78Ssfencevma age.io.deq := deq 140e4f69d78Ssfencevma age.io.ready:= ready 141e4f69d78Ssfencevma val out = Wire(Valid(UInt(deq.getWidth.W))) 142e4f69d78Ssfencevma out.valid := age.io.out.orR 143e4f69d78Ssfencevma out.bits := age.io.out 144e4f69d78Ssfencevma out 145e4f69d78Ssfencevma } 146e4f69d78Ssfencevma} 147e4f69d78Ssfencevma 148e4f69d78Ssfencevma 149e4f69d78Ssfencevmaclass LoadQueueReplay(implicit p: Parameters) extends XSModule 150e4f69d78Ssfencevma with HasDCacheParameters 151e4f69d78Ssfencevma with HasCircularQueuePtrHelper 152e4f69d78Ssfencevma with HasLoadHelper 153185e6164SHaoyuan Feng with HasTlbConst 154e4f69d78Ssfencevma with HasPerfEvents 155e4f69d78Ssfencevma{ 156e4f69d78Ssfencevma val io = IO(new Bundle() { 15714a67055Ssfencevma // control 158e4f69d78Ssfencevma val redirect = Flipped(ValidIO(new Redirect)) 15914a67055Ssfencevma 16014a67055Ssfencevma // from load unit s3 161e4f69d78Ssfencevma val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) 16214a67055Ssfencevma 16314a67055Ssfencevma // from sta s1 164e4f69d78Ssfencevma val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 16514a67055Ssfencevma 16614a67055Ssfencevma // from std s1 167e4f69d78Ssfencevma val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput))) 16814a67055Ssfencevma 16914a67055Ssfencevma // queue-based replay 170e4f69d78Ssfencevma val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle)) 171e4f69d78Ssfencevma val refill = Flipped(ValidIO(new Refill)) 1729444e131Ssfencevma val tl_d_channel = Input(new DcacheToLduForwardIO) 17314a67055Ssfencevma 17414a67055Ssfencevma // from StoreQueue 175e4f69d78Ssfencevma val stAddrReadySqPtr = Input(new SqPtr) 176e4f69d78Ssfencevma val stAddrReadyVec = Input(Vec(StoreQueueSize, Bool())) 177e4f69d78Ssfencevma val stDataReadySqPtr = Input(new SqPtr) 178e4f69d78Ssfencevma val stDataReadyVec = Input(Vec(StoreQueueSize, Bool())) 17914a67055Ssfencevma 18014a67055Ssfencevma // 181e4f69d78Ssfencevma val sqEmpty = Input(Bool()) 182e4f69d78Ssfencevma val lqFull = Output(Bool()) 183e4f69d78Ssfencevma val ldWbPtr = Input(new LqPtr) 184f2e8d419Ssfencevma val rarFull = Input(Bool()) 185f2e8d419Ssfencevma val rawFull = Input(Bool()) 18614a67055Ssfencevma val l2_hint = Input(Valid(new L2ToL1Hint())) 187185e6164SHaoyuan Feng val tlb_hint = Flipped(new TlbHintIO) 18814a67055Ssfencevma val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W))) 18960ebee38STang Haojin 19060ebee38STang Haojin val debugTopDown = new LoadQueueTopDownIO 191e4f69d78Ssfencevma }) 192e4f69d78Ssfencevma 193e4f69d78Ssfencevma println("LoadQueueReplay size: " + LoadQueueReplaySize) 194e4f69d78Ssfencevma // LoadQueueReplay field: 195e4f69d78Ssfencevma // +-----------+---------+-------+-------------+--------+ 196e4f69d78Ssfencevma // | Allocated | MicroOp | VAddr | Cause | Flags | 197e4f69d78Ssfencevma // +-----------+---------+-------+-------------+--------+ 198e4f69d78Ssfencevma // Allocated : entry has been allocated already 199e4f69d78Ssfencevma // MicroOp : inst's microOp 200e4f69d78Ssfencevma // VAddr : virtual address 201e4f69d78Ssfencevma // Cause : replay cause 202e4f69d78Ssfencevma // Flags : rar/raw queue allocate flags 203e4f69d78Ssfencevma val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value 2048a610956Ssfencevma val scheduled = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 205e4f69d78Ssfencevma val uop = Reg(Vec(LoadQueueReplaySize, new MicroOp)) 206e4f69d78Ssfencevma val vaddrModule = Module(new LqVAddrModule( 207e4f69d78Ssfencevma gen = UInt(VAddrBits.W), 208e4f69d78Ssfencevma numEntries = LoadQueueReplaySize, 209e4f69d78Ssfencevma numRead = LoadPipelineWidth, 210e4f69d78Ssfencevma numWrite = LoadPipelineWidth, 211e4f69d78Ssfencevma numWBank = LoadQueueNWriteBanks, 212e4f69d78Ssfencevma numWDelay = 2, 213e4f69d78Ssfencevma numCamPort = 0)) 214e4f69d78Ssfencevma vaddrModule.io := DontCare 215d2b20d1aSTang Haojin val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W)))) 216e4f69d78Ssfencevma val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W)))) 217e50f3145Ssfencevma val blocking = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 218e4f69d78Ssfencevma 219e4f69d78Ssfencevma // freeliset: store valid entries index. 220e4f69d78Ssfencevma // +---+---+--------------+-----+-----+ 221e4f69d78Ssfencevma // | 0 | 1 | ...... | n-2 | n-1 | 222e4f69d78Ssfencevma // +---+---+--------------+-----+-----+ 223e4f69d78Ssfencevma val freeList = Module(new FreeList( 224e4f69d78Ssfencevma size = LoadQueueReplaySize, 225e4f69d78Ssfencevma allocWidth = LoadPipelineWidth, 226e4f69d78Ssfencevma freeWidth = 4, 227f275998aSsfencevma enablePreAlloc = true, 228e4f69d78Ssfencevma moduleName = "LoadQueueReplay freelist" 229e4f69d78Ssfencevma )) 230e4f69d78Ssfencevma freeList.io := DontCare 231e4f69d78Ssfencevma /** 232e4f69d78Ssfencevma * used for re-select control 233e4f69d78Ssfencevma */ 234e4f69d78Ssfencevma val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr)) 235e4f69d78Ssfencevma // DCache miss block 236185e6164SHaoyuan Feng val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries+1).W))))) 237185e6164SHaoyuan Feng val tlbHintId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(loadfiltersize+1).W))))) 238b9e121dfShappy-lx // Has this load already updated dcache replacement? 239b9e121dfShappy-lx val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 2400d32f713Shappy-lx val missDbUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 24114a67055Ssfencevma val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.C_DM)))) 24204665835SMaxpicca-Li val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(nWays, 0.U, false.B)))) 243b9e121dfShappy-lx val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 244e4f69d78Ssfencevma 245e4f69d78Ssfencevma /** 246e4f69d78Ssfencevma * Enqueue 247e4f69d78Ssfencevma */ 248e4f69d78Ssfencevma val canEnqueue = io.enq.map(_.valid) 249e4f69d78Ssfencevma val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect)) 25014a67055Ssfencevma val needReplay = io.enq.map(enq => enq.bits.rep_info.need_rep) 251e4f69d78Ssfencevma val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR && !enq.bits.tlbMiss) 252e4f69d78Ssfencevma val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay) 253e4f69d78Ssfencevma val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => { 254e4f69d78Ssfencevma canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w) 255e4f69d78Ssfencevma })) 256e4f69d78Ssfencevma val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => { 257e4f69d78Ssfencevma canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w)) 258e4f69d78Ssfencevma })) 259e4f69d78Ssfencevma 260e4f69d78Ssfencevma // select LoadPipelineWidth valid index. 261e4f69d78Ssfencevma val lqFull = freeList.io.empty 262e4f69d78Ssfencevma val lqFreeNums = freeList.io.validCount 263e4f69d78Ssfencevma 264e4f69d78Ssfencevma // replay logic 265e4f69d78Ssfencevma // release logic generation 266e4f69d78Ssfencevma val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool())) 267e4f69d78Ssfencevma val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool())) 268e4f69d78Ssfencevma val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool())) 269e4f69d78Ssfencevma val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool())) 270e4f69d78Ssfencevma val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt 271e4f69d78Ssfencevma val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt 272e4f69d78Ssfencevma 273e4f69d78Ssfencevma // store data valid check 274e4f69d78Ssfencevma val stAddrReadyVec = io.stAddrReadyVec 275e4f69d78Ssfencevma val stDataReadyVec = io.stDataReadyVec 276e4f69d78Ssfencevma 277e4f69d78Ssfencevma for (i <- 0 until LoadQueueReplaySize) { 278e4f69d78Ssfencevma // dequeue 279e4f69d78Ssfencevma // FIXME: store*Ptr is not accurate 280159372ddSsfencevma dataNotBlockVec(i) := !isBefore(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing 281e4f69d78Ssfencevma addrNotBlockVec(i) := !isBefore(io.stAddrReadySqPtr, blockSqIdx(i)) || stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing 282e4f69d78Ssfencevma 283e4f69d78Ssfencevma // store address execute 284e4f69d78Ssfencevma storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => { 285e4f69d78Ssfencevma io.storeAddrIn(w).valid && 286e4f69d78Ssfencevma !io.storeAddrIn(w).bits.miss && 287e4f69d78Ssfencevma blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx 288e4f69d78Ssfencevma })).asUInt.orR // for better timing 289e4f69d78Ssfencevma 290e4f69d78Ssfencevma // store data execute 291e4f69d78Ssfencevma storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => { 292e4f69d78Ssfencevma io.storeDataIn(w).valid && 293e4f69d78Ssfencevma blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx 294e4f69d78Ssfencevma })).asUInt.orR // for better timing 295e4f69d78Ssfencevma 296e4f69d78Ssfencevma } 297e4f69d78Ssfencevma 298e4f69d78Ssfencevma // store addr issue check 299e4f69d78Ssfencevma val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool())) 300e4f69d78Ssfencevma (0 until LoadQueueReplaySize).map(i => { 301e4f69d78Ssfencevma stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i) 302e4f69d78Ssfencevma }) 303e4f69d78Ssfencevma 304e4f69d78Ssfencevma // store data issue check 305e4f69d78Ssfencevma val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool())) 306e4f69d78Ssfencevma (0 until LoadQueueReplaySize).map(i => { 307e4f69d78Ssfencevma stDataDeqVec(i) := allocated(i) && storeDataValidVec(i) 308e4f69d78Ssfencevma }) 309e4f69d78Ssfencevma 310e50f3145Ssfencevma // update blocking condition 311e4f69d78Ssfencevma (0 until LoadQueueReplaySize).map(i => { 312e50f3145Ssfencevma // case C_MA 313e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_MA)) { 314e50f3145Ssfencevma blocking(i) := Mux(stAddrDeqVec(i), false.B, blocking(i)) 315e50f3145Ssfencevma } 316e50f3145Ssfencevma // case C_TM 317e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_TM)) { 318185e6164SHaoyuan Feng blocking(i) := Mux(io.tlb_hint.resp.valid && 319185e6164SHaoyuan Feng (io.tlb_hint.resp.bits.replay_all || 320185e6164SHaoyuan Feng io.tlb_hint.resp.bits.id === tlbHintId(i)), false.B, blocking(i)) 321e50f3145Ssfencevma } 322e50f3145Ssfencevma // case C_FF 323e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_FF)) { 324e50f3145Ssfencevma blocking(i) := Mux(stDataDeqVec(i), false.B, blocking(i)) 325e50f3145Ssfencevma } 326e50f3145Ssfencevma // case C_DM 327e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_DM)) { 328e50f3145Ssfencevma blocking(i) := Mux(io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i), false.B, blocking(i)) 329e50f3145Ssfencevma } 330e50f3145Ssfencevma // case C_RAR 331e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_RAR)) { 332e50f3145Ssfencevma blocking(i) := Mux((!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr)), false.B, blocking(i)) 333e50f3145Ssfencevma } 334e50f3145Ssfencevma // case C_RAW 335e50f3145Ssfencevma when (cause(i)(LoadReplayCauses.C_RAW)) { 336e50f3145Ssfencevma blocking(i) := Mux((!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr)), false.B, blocking(i)) 337e50f3145Ssfencevma } 338e4f69d78Ssfencevma }) 339e4f69d78Ssfencevma 340e4f69d78Ssfencevma // Replay is splitted into 3 stages 3418a610956Ssfencevma require((LoadQueueReplaySize % LoadPipelineWidth) == 0) 342e4f69d78Ssfencevma def getRemBits(input: UInt)(rem: Int): UInt = { 343e4f69d78Ssfencevma VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt 344e4f69d78Ssfencevma } 345e4f69d78Ssfencevma 346f2e8d419Ssfencevma def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = { 347f2e8d419Ssfencevma (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) }) 348f2e8d419Ssfencevma } 349f2e8d419Ssfencevma 350e4f69d78Ssfencevma // stage1: select 2 entries and read their vaddr 351f275998aSsfencevma val s0_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(LoadQueueReplaySize.W)))) 3528a610956Ssfencevma val s1_can_go = Wire(Vec(LoadPipelineWidth, Bool())) 3538a610956Ssfencevma val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W)))) 3548a610956Ssfencevma val s2_can_go = Wire(Vec(LoadPipelineWidth, Bool())) 3558a610956Ssfencevma val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W)))) 356e4f69d78Ssfencevma 357e4f69d78Ssfencevma // generate mask 358e4f69d78Ssfencevma val needCancel = Wire(Vec(LoadQueueReplaySize, Bool())) 359e4f69d78Ssfencevma // generate enq mask 360f275998aSsfencevma val enqIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W))) 361f275998aSsfencevma val s0_loadEnqFireMask = io.enq.map(x => x.fire && !x.bits.isLoadReplay).zip(enqIndexOH).map(x => Mux(x._1, x._2, 0.U)) 3628a610956Ssfencevma val s0_remLoadEnqFireVec = s0_loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem)))) 3638a610956Ssfencevma val s0_remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(s0_remLoadEnqFireVec.map(x => x(w)))) 364e4f69d78Ssfencevma 365e4f69d78Ssfencevma // generate free mask 366cd2ff98bShappy-lx val s0_loadFreeSelMask = RegNext(needCancel.asUInt) 3678a610956Ssfencevma val s0_remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(s0_loadFreeSelMask)(rem))) 368e4f69d78Ssfencevma 369b9e121dfShappy-lx // l2 hint wakes up cache missed load 370b9e121dfShappy-lx // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1 3718a610956Ssfencevma val s0_loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => { 372cd2ff98bShappy-lx allocated(i) && !scheduled(i) && cause(i)(LoadReplayCauses.C_DM) && blocking(i) && missMSHRId(i) === io.l2_hint.bits.sourceId && io.l2_hint.valid 373935edac4STang Haojin })).asUInt 374b9e121dfShappy-lx // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle 375*d2945707SHuijin Li // when isKeyword = 1, s0_loadHintSelMask need overturn 376*d2945707SHuijin Li val s0_loadHintSelMask = Mux( 377*d2945707SHuijin Li io.l2_hint.bits.isKeyword, 378*d2945707SHuijin Li s0_loadHintWakeMask & dataInLastBeatReg.asUInt, 379*d2945707SHuijin Li s0_loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt 380*d2945707SHuijin Li ) 3818a610956Ssfencevma val s0_remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHintSelMask)(rem))) 382e50f3145Ssfencevma val s0_remHintSelValidVec = VecInit((0 until LoadPipelineWidth).map(rem => ParallelORR(s0_remLoadHintSelMask(rem)))) 383cd2ff98bShappy-lx val s0_hintSelValid = ParallelORR(s0_loadHintSelMask) 384b9e121dfShappy-lx 385b9e121dfShappy-lx // wake up cache missed load 386b9e121dfShappy-lx (0 until LoadQueueReplaySize).foreach(i => { 3878a610956Ssfencevma when(s0_loadHintWakeMask(i)) { 388e50f3145Ssfencevma blocking(i) := false.B 389b9e121dfShappy-lx } 390b9e121dfShappy-lx }) 391b9e121dfShappy-lx 392e4f69d78Ssfencevma // generate replay mask 393b9e121dfShappy-lx // replay select priority is given as follow 394b9e121dfShappy-lx // 1. hint wake up load 395b9e121dfShappy-lx // 2. higher priority load 396b9e121dfShappy-lx // 3. lower priority load 3978a610956Ssfencevma val s0_loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => { 39814a67055Ssfencevma val hasHigherPriority = cause(i)(LoadReplayCauses.C_DM) || cause(i)(LoadReplayCauses.C_FF) 399cd2ff98bShappy-lx allocated(i) && !scheduled(i) && !blocking(i) && hasHigherPriority 400e4f69d78Ssfencevma })).asUInt // use uint instead vec to reduce verilog lines 401e50f3145Ssfencevma val s0_remLoadHigherPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHigherPriorityReplaySelMask)(rem))) 4028a610956Ssfencevma val s0_loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => { 40314a67055Ssfencevma val hasLowerPriority = !cause(i)(LoadReplayCauses.C_DM) && !cause(i)(LoadReplayCauses.C_FF) 404cd2ff98bShappy-lx allocated(i) && !scheduled(i) && !blocking(i) && hasLowerPriority 405e4f69d78Ssfencevma })).asUInt // use uint instead vec to reduce verilog lines 406e50f3145Ssfencevma val s0_remLoadLowerPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadLowerPriorityReplaySelMask)(rem))) 4078a610956Ssfencevma val s0_loadNormalReplaySelMask = s0_loadLowerPriorityReplaySelMask | s0_loadHigherPriorityReplaySelMask | s0_loadHintSelMask 408e50f3145Ssfencevma val s0_remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => s0_remLoadLowerPriorityReplaySelMask(rem) | s0_remLoadHigherPriorityReplaySelMask(rem) | s0_remLoadHintSelMask(rem))) 409e50f3145Ssfencevma val s0_remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => { 410e50f3145Ssfencevma Mux(s0_remHintSelValidVec(rem), s0_remLoadHintSelMask(rem), 411e50f3145Ssfencevma Mux(ParallelORR(s0_remLoadHigherPriorityReplaySelMask(rem)), s0_remLoadHigherPriorityReplaySelMask(rem), s0_remLoadLowerPriorityReplaySelMask(rem))) 412e50f3145Ssfencevma })) 4138a610956Ssfencevma /****************************************************************************************************** 4148a610956Ssfencevma * WARNING: Make sure that OldestSelectStride must less than or equal stages of load pipeline. * 4158a610956Ssfencevma ****************************************************************************************************** 416f2e8d419Ssfencevma */ 417f2e8d419Ssfencevma val OldestSelectStride = 4 418f2e8d419Ssfencevma val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U) 4198a610956Ssfencevma val s0_oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => s0_loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j))) 4208a610956Ssfencevma val s0_remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.take(1)))(rem)) 4218a610956Ssfencevma val s0_remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.drop(1)))(rem)) 4228a610956Ssfencevma val s0_remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => { 423f2e8d419Ssfencevma VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { 424e50f3145Ssfencevma Mux(ParallelORR(s0_remOldsetMatchMaskVec(rem).map(_(0))), s0_remOldsetMatchMaskVec(rem)(i)(0), s0_remOlderMatchMaskVec(rem)(i).reduce(_|_)) 425f2e8d419Ssfencevma })).asUInt 426f2e8d419Ssfencevma })) 4278a610956Ssfencevma val s0_remOldestHintSelVec = s0_remOldestSelVec.zip(s0_remLoadHintSelMask).map { 428b9e121dfShappy-lx case(oldestVec, hintVec) => oldestVec & hintVec 429b9e121dfShappy-lx } 430e4f69d78Ssfencevma 431e4f69d78Ssfencevma // select oldest logic 4328a610956Ssfencevma s0_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => { 433e4f69d78Ssfencevma // select enqueue earlest inst 4348a610956Ssfencevma val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, s0_remEnqSelVec(rport), s0_remFreeSelVec(rport), s0_remPriorityReplaySelVec(rport)) 435e4f69d78Ssfencevma assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!") 436e4f69d78Ssfencevma val ageOldestValid = ageOldest.valid 43744cbc983Ssfencevma val ageOldestIndexOH = ageOldest.bits 438e4f69d78Ssfencevma 439e4f69d78Ssfencevma // select program order oldest 440e50f3145Ssfencevma val l2HintFirst = io.l2_hint.valid && ParallelORR(s0_remOldestHintSelVec(rport)) 441e50f3145Ssfencevma val issOldestValid = l2HintFirst || ParallelORR(s0_remOldestSelVec(rport)) 44244cbc983Ssfencevma val issOldestIndexOH = Mux(l2HintFirst, PriorityEncoderOH(s0_remOldestHintSelVec(rport)), PriorityEncoderOH(s0_remOldestSelVec(rport))) 443e4f69d78Ssfencevma 444e4f69d78Ssfencevma val oldest = Wire(Valid(UInt())) 44544cbc983Ssfencevma val oldestSel = Mux(issOldestValid, issOldestIndexOH, ageOldestIndexOH) 44644cbc983Ssfencevma val oldestBitsVec = Wire(Vec(LoadQueueReplaySize, Bool())) 44744cbc983Ssfencevma 44844cbc983Ssfencevma require((LoadQueueReplaySize % LoadPipelineWidth) == 0) 44944cbc983Ssfencevma oldestBitsVec.foreach(e => e := false.B) 45044cbc983Ssfencevma for (i <- 0 until LoadQueueReplaySize / LoadPipelineWidth) { 45144cbc983Ssfencevma oldestBitsVec(i * LoadPipelineWidth + rport) := oldestSel(i) 45244cbc983Ssfencevma } 45344cbc983Ssfencevma 454e4f69d78Ssfencevma oldest.valid := ageOldest.valid || issOldestValid 455f275998aSsfencevma oldest.bits := oldestBitsVec.asUInt 456e4f69d78Ssfencevma oldest 457e4f69d78Ssfencevma })) 458e4f69d78Ssfencevma 459e4f69d78Ssfencevma // stage2: send replay request to load unit 460e4f69d78Ssfencevma // replay cold down 461e4f69d78Ssfencevma val ColdDownCycles = 16 462e4f69d78Ssfencevma val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W)))) 463e4f69d78Ssfencevma val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W)) 464e4f69d78Ssfencevma ColdDownThreshold := Constantin.createRecord("ColdDownThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 12.U) 465e4f69d78Ssfencevma assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!") 466e4f69d78Ssfencevma 467e4f69d78Ssfencevma def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold 468e4f69d78Ssfencevma def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold 469e4f69d78Ssfencevma 4708a610956Ssfencevma for (i <- 0 until LoadPipelineWidth) { 471cd2ff98bShappy-lx val s0_can_go = s1_can_go(i) || 472cd2ff98bShappy-lx uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) || 473cd2ff98bShappy-lx uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect)) 474f275998aSsfencevma val s0_oldestSelIndexOH = s0_oldestSel(i).bits // one-hot 475f275998aSsfencevma s1_oldestSel(i).valid := RegEnable(s0_oldestSel(i).valid, s0_can_go) 476f275998aSsfencevma s1_oldestSel(i).bits := RegEnable(OHToUInt(s0_oldestSel(i).bits), s0_can_go) 4778a610956Ssfencevma 478f275998aSsfencevma for (j <- 0 until LoadQueueReplaySize) { 479f275998aSsfencevma when (s0_can_go && s0_oldestSel(i).valid && s0_oldestSelIndexOH(j)) { 480f275998aSsfencevma scheduled(j) := true.B 481f275998aSsfencevma } 4828a610956Ssfencevma } 4838a610956Ssfencevma } 4848a610956Ssfencevma val s2_cancelReplay = Wire(Vec(LoadPipelineWidth, Bool())) 4858a610956Ssfencevma for (i <- 0 until LoadPipelineWidth) { 486cd2ff98bShappy-lx val s1_cancel = uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) || 487cd2ff98bShappy-lx uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect)) 488cd2ff98bShappy-lx val s1_oldestSelV = s1_oldestSel(i).valid && !s1_cancel 489cd2ff98bShappy-lx s1_can_go(i) := replayCanFire(i) && (!s2_oldestSel(i).valid || io.replay(i).fire) || s2_cancelReplay(i) 490cd2ff98bShappy-lx s2_oldestSel(i).valid := RegEnable(Mux(s1_can_go(i), s1_oldestSelV, false.B), (s1_can_go(i) || io.replay(i).fire)) 491cd2ff98bShappy-lx s2_oldestSel(i).bits := RegEnable(s1_oldestSel(i).bits, s1_can_go(i)) 4928a610956Ssfencevma 493cd2ff98bShappy-lx vaddrModule.io.ren(i) := s1_oldestSel(i).valid && s1_can_go(i) 494cd2ff98bShappy-lx vaddrModule.io.raddr(i) := s1_oldestSel(i).bits 4958a610956Ssfencevma } 496f2e8d419Ssfencevma 497e4f69d78Ssfencevma for (i <- 0 until LoadPipelineWidth) { 498cd2ff98bShappy-lx val s1_replayIdx = s1_oldestSel(i).bits 4998a610956Ssfencevma val s2_replayUop = RegEnable(uop(s1_replayIdx), s1_can_go(i)) 5008a610956Ssfencevma val s2_replayMSHRId = RegEnable(missMSHRId(s1_replayIdx), s1_can_go(i)) 5018a610956Ssfencevma val s2_replacementUpdated = RegEnable(replacementUpdated(s1_replayIdx), s1_can_go(i)) 5020d32f713Shappy-lx val s2_missDbUpdated = RegEnable(missDbUpdated(s1_replayIdx), s1_can_go(i)) 5038a610956Ssfencevma val s2_replayCauses = RegEnable(cause(s1_replayIdx), s1_can_go(i)) 5048a610956Ssfencevma val s2_replayCarry = RegEnable(replayCarryReg(s1_replayIdx), s1_can_go(i)) 5058a610956Ssfencevma val s2_replayCacheMissReplay = RegEnable(trueCacheMissReplay(s1_replayIdx), s1_can_go(i)) 5068a610956Ssfencevma s2_cancelReplay(i) := s2_replayUop.robIdx.needFlush(io.redirect) 507e4f69d78Ssfencevma 5088a610956Ssfencevma s2_can_go(i) := DontCare 509cd2ff98bShappy-lx io.replay(i).valid := s2_oldestSel(i).valid 510e4f69d78Ssfencevma io.replay(i).bits := DontCare 511e4f69d78Ssfencevma io.replay(i).bits.uop := s2_replayUop 512e4f69d78Ssfencevma io.replay(i).bits.vaddr := vaddrModule.io.rdata(i) 513e4f69d78Ssfencevma io.replay(i).bits.isFirstIssue := false.B 514e4f69d78Ssfencevma io.replay(i).bits.isLoadReplay := true.B 515e4f69d78Ssfencevma io.replay(i).bits.replayCarry := s2_replayCarry 516e4f69d78Ssfencevma io.replay(i).bits.mshrid := s2_replayMSHRId 517b9e121dfShappy-lx io.replay(i).bits.replacementUpdated := s2_replacementUpdated 5180d32f713Shappy-lx io.replay(i).bits.missDbUpdated := s2_missDbUpdated 51914a67055Ssfencevma io.replay(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.C_DM) 52014a67055Ssfencevma io.replay(i).bits.schedIndex := s2_oldestSel(i).bits 521e4f69d78Ssfencevma 522e4f69d78Ssfencevma when (io.replay(i).fire) { 5238a610956Ssfencevma XSError(!allocated(s2_oldestSel(i).bits), p"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?") 524e4f69d78Ssfencevma } 525e4f69d78Ssfencevma } 526e4f69d78Ssfencevma 527e4f69d78Ssfencevma // update cold counter 528e4f69d78Ssfencevma val lastReplay = RegNext(VecInit(io.replay.map(_.fire))) 529e4f69d78Ssfencevma for (i <- 0 until LoadPipelineWidth) { 530e4f69d78Ssfencevma when (lastReplay(i) && io.replay(i).fire) { 531e4f69d78Ssfencevma coldCounter(i) := coldCounter(i) + 1.U 532e4f69d78Ssfencevma } .elsewhen (coldDownNow(i)) { 533e4f69d78Ssfencevma coldCounter(i) := coldCounter(i) + 1.U 534e4f69d78Ssfencevma } .otherwise { 535e4f69d78Ssfencevma coldCounter(i) := 0.U 536e4f69d78Ssfencevma } 537e4f69d78Ssfencevma } 538e4f69d78Ssfencevma 539e4f69d78Ssfencevma when(io.refill.valid) { 540e4f69d78Ssfencevma XSDebug("miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data) 541e4f69d78Ssfencevma } 542e4f69d78Ssfencevma 543e4f69d78Ssfencevma // LoadQueueReplay deallocate 544e4f69d78Ssfencevma val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool())) 545e4f69d78Ssfencevma 546e4f69d78Ssfencevma // init 547e4f69d78Ssfencevma freeMaskVec.map(e => e := false.B) 548e4f69d78Ssfencevma 549e4f69d78Ssfencevma // Allocate logic 550e4f69d78Ssfencevma val newEnqueue = (0 until LoadPipelineWidth).map(i => { 551e4f69d78Ssfencevma needEnqueue(i) && !io.enq(i).bits.isLoadReplay 552e4f69d78Ssfencevma }) 553e4f69d78Ssfencevma 554e4f69d78Ssfencevma for ((enq, w) <- io.enq.zipWithIndex) { 555e4f69d78Ssfencevma vaddrModule.io.wen(w) := false.B 556e4f69d78Ssfencevma freeList.io.doAllocate(w) := false.B 557e4f69d78Ssfencevma 558f275998aSsfencevma freeList.io.allocateReq(w) := true.B 559e4f69d78Ssfencevma 560e4f69d78Ssfencevma // Allocated ready 561f275998aSsfencevma val offset = PopCount(newEnqueue.take(w)) 562f275998aSsfencevma val canAccept = freeList.io.canAllocate(offset) 563f275998aSsfencevma val enqIndex = Mux(enq.bits.isLoadReplay, enq.bits.schedIndex, freeList.io.allocateSlot(offset)) 564f275998aSsfencevma enqIndexOH(w) := UIntToOH(enqIndex) 565f275998aSsfencevma enq.ready := Mux(enq.bits.isLoadReplay, true.B, canAccept) 566e4f69d78Ssfencevma 567e4f69d78Ssfencevma when (needEnqueue(w) && enq.ready) { 568e4f69d78Ssfencevma 569e4f69d78Ssfencevma val debug_robIdx = enq.bits.uop.robIdx.asUInt 570e4f69d78Ssfencevma XSError(allocated(enqIndex) && !enq.bits.isLoadReplay, p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!") 571e4f69d78Ssfencevma XSError(hasExceptions(w), p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!") 572e4f69d78Ssfencevma 573e4f69d78Ssfencevma freeList.io.doAllocate(w) := !enq.bits.isLoadReplay 574e4f69d78Ssfencevma 575e4f69d78Ssfencevma // Allocate new entry 576e4f69d78Ssfencevma allocated(enqIndex) := true.B 5778a610956Ssfencevma scheduled(enqIndex) := false.B 578e4f69d78Ssfencevma uop(enqIndex) := enq.bits.uop 579e4f69d78Ssfencevma 580e4f69d78Ssfencevma vaddrModule.io.wen(w) := true.B 581e4f69d78Ssfencevma vaddrModule.io.waddr(w) := enqIndex 582e4f69d78Ssfencevma vaddrModule.io.wdata(w) := enq.bits.vaddr 583d2b20d1aSTang Haojin debug_vaddr(enqIndex) := enq.bits.vaddr 584e4f69d78Ssfencevma 585e4f69d78Ssfencevma /** 586e4f69d78Ssfencevma * used for feedback and replay 587e4f69d78Ssfencevma */ 588e4f69d78Ssfencevma // set flags 58914a67055Ssfencevma val replayInfo = enq.bits.rep_info 59014a67055Ssfencevma val dataInLastBeat = replayInfo.last_beat 591e4f69d78Ssfencevma cause(enqIndex) := replayInfo.cause.asUInt 592e4f69d78Ssfencevma 593e4f69d78Ssfencevma 594e4f69d78Ssfencevma // init 595e50f3145Ssfencevma blocking(enqIndex) := true.B 596e50f3145Ssfencevma 597e50f3145Ssfencevma // update blocking pointer 598e50f3145Ssfencevma when (replayInfo.cause(LoadReplayCauses.C_BC) || 599e50f3145Ssfencevma replayInfo.cause(LoadReplayCauses.C_NK) || 6004b506377Ssfencevma replayInfo.cause(LoadReplayCauses.C_DR) || 6014b506377Ssfencevma replayInfo.cause(LoadReplayCauses.C_WF)) { 602e50f3145Ssfencevma // normal case: bank conflict or schedule error or dcache replay 603e50f3145Ssfencevma // can replay next cycle 604e50f3145Ssfencevma blocking(enqIndex) := false.B 605e4f69d78Ssfencevma } 606e4f69d78Ssfencevma 607e4f69d78Ssfencevma // special case: tlb miss 60814a67055Ssfencevma when (replayInfo.cause(LoadReplayCauses.C_TM)) { 609185e6164SHaoyuan Feng blocking(enqIndex) := !replayInfo.tlb_full && 610185e6164SHaoyuan Feng !(io.tlb_hint.resp.valid && (io.tlb_hint.resp.bits.id === replayInfo.tlb_id || io.tlb_hint.resp.bits.replay_all)) 611185e6164SHaoyuan Feng tlbHintId(enqIndex) := replayInfo.tlb_id 612e4f69d78Ssfencevma } 613e4f69d78Ssfencevma 614e4f69d78Ssfencevma // special case: dcache miss 61514a67055Ssfencevma when (replayInfo.cause(LoadReplayCauses.C_DM) && enq.bits.handledByMSHR) { 616e50f3145Ssfencevma blocking(enqIndex) := !replayInfo.full_fwd && // dcache miss 6179444e131Ssfencevma !(io.tl_d_channel.valid && io.tl_d_channel.mshrid === replayInfo.mshr_id) // no refill in this cycle 618e4f69d78Ssfencevma } 619e4f69d78Ssfencevma 620e4f69d78Ssfencevma // special case: st-ld violation 62114a67055Ssfencevma when (replayInfo.cause(LoadReplayCauses.C_MA)) { 62214a67055Ssfencevma blockSqIdx(enqIndex) := replayInfo.addr_inv_sq_idx 623e4f69d78Ssfencevma } 624e4f69d78Ssfencevma 625e4f69d78Ssfencevma // special case: data forward fail 62614a67055Ssfencevma when (replayInfo.cause(LoadReplayCauses.C_FF)) { 62714a67055Ssfencevma blockSqIdx(enqIndex) := replayInfo.data_inv_sq_idx 628e4f69d78Ssfencevma } 629b9e121dfShappy-lx // extra info 63014a67055Ssfencevma replayCarryReg(enqIndex) := replayInfo.rep_carry 631b9e121dfShappy-lx replacementUpdated(enqIndex) := enq.bits.replacementUpdated 6320d32f713Shappy-lx missDbUpdated(enqIndex) := enq.bits.missDbUpdated 63314a67055Ssfencevma // update mshr_id only when the load has already been handled by mshr 634b9e121dfShappy-lx when(enq.bits.handledByMSHR) { 63514a67055Ssfencevma missMSHRId(enqIndex) := replayInfo.mshr_id 636e4f69d78Ssfencevma } 637b9e121dfShappy-lx dataInLastBeatReg(enqIndex) := dataInLastBeat 638*d2945707SHuijin Li //dataInLastBeatReg(enqIndex) := Mux(io.l2_hint.bits.isKeyword, !dataInLastBeat, dataInLastBeat) 639b9e121dfShappy-lx } 640e4f69d78Ssfencevma 641e4f69d78Ssfencevma // 64214a67055Ssfencevma val schedIndex = enq.bits.schedIndex 643e4f69d78Ssfencevma when (enq.valid && enq.bits.isLoadReplay) { 644e4f69d78Ssfencevma when (!needReplay(w) || hasExceptions(w)) { 64514a67055Ssfencevma allocated(schedIndex) := false.B 64614a67055Ssfencevma freeMaskVec(schedIndex) := true.B 647e4f69d78Ssfencevma } .otherwise { 64814a67055Ssfencevma scheduled(schedIndex) := false.B 649e4f69d78Ssfencevma } 650e4f69d78Ssfencevma } 651e4f69d78Ssfencevma } 652e4f69d78Ssfencevma 653e4f69d78Ssfencevma // misprediction recovery / exception redirect 654e4f69d78Ssfencevma for (i <- 0 until LoadQueueReplaySize) { 655e4f69d78Ssfencevma needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i) 656e4f69d78Ssfencevma when (needCancel(i)) { 657e4f69d78Ssfencevma allocated(i) := false.B 658e4f69d78Ssfencevma freeMaskVec(i) := true.B 659e4f69d78Ssfencevma } 660e4f69d78Ssfencevma } 661e4f69d78Ssfencevma 662e4f69d78Ssfencevma freeList.io.free := freeMaskVec.asUInt 663e4f69d78Ssfencevma 664e4f69d78Ssfencevma io.lqFull := lqFull 665e4f69d78Ssfencevma 666d2b20d1aSTang Haojin // Topdown 66760ebee38STang Haojin val robHeadVaddr = io.debugTopDown.robHeadVaddr 668d2b20d1aSTang Haojin 669d2b20d1aSTang Haojin val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp)) 670d2b20d1aSTang Haojin (uop_wrapper.zipWithIndex).foreach { 671d2b20d1aSTang Haojin case (u, i) => { 672d2b20d1aSTang Haojin u.uop := uop(i) 673d2b20d1aSTang Haojin } 674d2b20d1aSTang Haojin } 67560ebee38STang Haojin val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === robHeadVaddr.bits)} 676d2b20d1aSTang Haojin val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => { 677d2b20d1aSTang Haojin val (a_v, a_uop) = (a._1, a._2) 678d2b20d1aSTang Haojin val (b_v, b_uop) = (b._1, b._2) 679d2b20d1aSTang Haojin 680d2b20d1aSTang Haojin val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop), 681d2b20d1aSTang Haojin Mux(a_v, a_uop, 682d2b20d1aSTang Haojin Mux(b_v, b_uop, 683d2b20d1aSTang Haojin a_uop))) 684d2b20d1aSTang Haojin (a_v || b_v, res) 685d2b20d1aSTang Haojin }) 686d2b20d1aSTang Haojin 687d2b20d1aSTang Haojin val lq_match_bits = rob_head_lq_match._2.uop 68860ebee38STang Haojin val lq_match = rob_head_lq_match._1 && robHeadVaddr.valid 689d2b20d1aSTang Haojin val lq_match_idx = lq_match_bits.lqIdx.value 690d2b20d1aSTang Haojin 69114a67055Ssfencevma val rob_head_tlb_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_TM) 69214a67055Ssfencevma val rob_head_nuke = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_NK) 69314a67055Ssfencevma val rob_head_mem_amb = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_MA) 69414a67055Ssfencevma val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_BC) 69514a67055Ssfencevma val rob_head_forward_fail = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_FF) 69614a67055Ssfencevma val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DR) 69714a67055Ssfencevma val rob_head_dcache_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DM) 69814a67055Ssfencevma val rob_head_rar_nack = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAR) 69914a67055Ssfencevma val rob_head_raw_nack = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAW) 70014a67055Ssfencevma val rob_head_other_replay = lq_match && (rob_head_rar_nack || rob_head_raw_nack || rob_head_forward_fail) 701d2b20d1aSTang Haojin 70214a67055Ssfencevma val rob_head_vio_replay = rob_head_nuke || rob_head_mem_amb 703d2b20d1aSTang Haojin 70460ebee38STang Haojin val rob_head_miss_in_dtlb = io.debugTopDown.robHeadMissInDTlb 70560ebee38STang Haojin io.debugTopDown.robHeadTlbReplay := rob_head_tlb_miss && !rob_head_miss_in_dtlb 70660ebee38STang Haojin io.debugTopDown.robHeadTlbMiss := rob_head_tlb_miss && rob_head_miss_in_dtlb 70760ebee38STang Haojin io.debugTopDown.robHeadLoadVio := rob_head_vio_replay 70860ebee38STang Haojin io.debugTopDown.robHeadLoadMSHR := rob_head_mshrfull_replay 70960ebee38STang Haojin io.debugTopDown.robHeadOtherReplay := rob_head_other_replay 710d2b20d1aSTang Haojin val perfValidCount = RegNext(PopCount(allocated)) 711d2b20d1aSTang Haojin 712e4f69d78Ssfencevma // perf cnt 71314a67055Ssfencevma val enqNumber = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay)) 71414a67055Ssfencevma val deqNumber = PopCount(io.replay.map(_.fire)) 715e4f69d78Ssfencevma val deqBlockCount = PopCount(io.replay.map(r => r.valid && !r.ready)) 71614a67055Ssfencevma val replayTlbMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_TM))) 7177c0b4ffaSTang Haojin val replayMemAmbCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_MA))) 7187c0b4ffaSTang Haojin val replayNukeCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_NK))) 71914a67055Ssfencevma val replayRARRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAR))) 72014a67055Ssfencevma val replayRAWRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAW))) 72114a67055Ssfencevma val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_BC))) 72214a67055Ssfencevma val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DR))) 72314a67055Ssfencevma val replayForwardFailCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_FF))) 72414a67055Ssfencevma val replayDCacheMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DM))) 72514a67055Ssfencevma XSPerfAccumulate("enq", enqNumber) 72614a67055Ssfencevma XSPerfAccumulate("deq", deqNumber) 727e4f69d78Ssfencevma XSPerfAccumulate("deq_block", deqBlockCount) 728e4f69d78Ssfencevma XSPerfAccumulate("replay_full", io.lqFull) 72914a67055Ssfencevma XSPerfAccumulate("replay_rar_nack", replayRARRejectCount) 73014a67055Ssfencevma XSPerfAccumulate("replay_raw_nack", replayRAWRejectCount) 73114a67055Ssfencevma XSPerfAccumulate("replay_nuke", replayNukeCount) 73214a67055Ssfencevma XSPerfAccumulate("replay_mem_amb", replayMemAmbCount) 733e4f69d78Ssfencevma XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount) 734e4f69d78Ssfencevma XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount) 735e4f69d78Ssfencevma XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount) 736e4f69d78Ssfencevma XSPerfAccumulate("replay_forward_fail", replayForwardFailCount) 737e4f69d78Ssfencevma XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount) 7388a610956Ssfencevma XSPerfAccumulate("replay_hint_wakeup", s0_hintSelValid) 739*d2945707SHuijin Li XSPerfAccumulate("replay_hint_priority_beat1", io.l2_hint.valid && io.l2_hint.bits.isKeyword) 740e4f69d78Ssfencevma 741e4f69d78Ssfencevma val perfEvents: Seq[(String, UInt)] = Seq( 74214a67055Ssfencevma ("enq", enqNumber), 74314a67055Ssfencevma ("deq", deqNumber), 744e4f69d78Ssfencevma ("deq_block", deqBlockCount), 745e4f69d78Ssfencevma ("replay_full", io.lqFull), 74614a67055Ssfencevma ("replay_rar_nack", replayRARRejectCount), 74714a67055Ssfencevma ("replay_raw_nack", replayRAWRejectCount), 74814a67055Ssfencevma ("replay_nuke", replayNukeCount), 74914a67055Ssfencevma ("replay_mem_amb", replayMemAmbCount), 750e4f69d78Ssfencevma ("replay_tlb_miss", replayTlbMissCount), 751e4f69d78Ssfencevma ("replay_bank_conflict", replayBankConflictCount), 752e4f69d78Ssfencevma ("replay_dcache_replay", replayDCacheReplayCount), 753e4f69d78Ssfencevma ("replay_forward_fail", replayForwardFailCount), 754e4f69d78Ssfencevma ("replay_dcache_miss", replayDCacheMissCount), 755e4f69d78Ssfencevma ) 756e4f69d78Ssfencevma generatePerfEvent() 757e4f69d78Ssfencevma // end 758e4f69d78Ssfencevma} 759