xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueReplay.scala (revision 60ebee385ce85a25a994f6da0c84ecce9bb91bca)
1e4f69d78Ssfencevma/***************************************************************************************
2e4f69d78Ssfencevma* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3e4f69d78Ssfencevma* Copyright (c) 2020-2021 Peng Cheng Laboratory
4e4f69d78Ssfencevma*
5e4f69d78Ssfencevma* XiangShan is licensed under Mulan PSL v2.
6e4f69d78Ssfencevma* You can use this software according to the terms and conditions of the Mulan PSL v2.
7e4f69d78Ssfencevma* You may obtain a copy of Mulan PSL v2 at:
8e4f69d78Ssfencevma*          http://license.coscl.org.cn/MulanPSL2
9e4f69d78Ssfencevma*
10e4f69d78Ssfencevma* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11e4f69d78Ssfencevma* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12e4f69d78Ssfencevma* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13e4f69d78Ssfencevma*
14e4f69d78Ssfencevma* See the Mulan PSL v2 for more details.
15e4f69d78Ssfencevma***************************************************************************************/
16e4f69d78Ssfencevmapackage xiangshan.mem
17e4f69d78Ssfencevma
18e4f69d78Ssfencevmaimport chisel3._
19e4f69d78Ssfencevmaimport chisel3.util._
20e4f69d78Ssfencevmaimport chipsalliance.rocketchip.config._
21e4f69d78Ssfencevmaimport xiangshan._
22e4f69d78Ssfencevmaimport xiangshan.backend.rob.{RobPtr, RobLsqIO}
23e4f69d78Ssfencevmaimport xiangshan.cache._
24e4f69d78Ssfencevmaimport xiangshan.backend.fu.fpu.FPU
25e4f69d78Ssfencevmaimport xiangshan.cache._
26e4f69d78Ssfencevmaimport xiangshan.frontend.FtqPtr
27e4f69d78Ssfencevmaimport xiangshan.ExceptionNO._
2804665835SMaxpicca-Liimport xiangshan.cache.wpu.ReplayCarry
29e4f69d78Ssfencevmaimport xiangshan.mem.mdp._
30e4f69d78Ssfencevmaimport utils._
31e4f69d78Ssfencevmaimport utility._
32e4f69d78Ssfencevma
33e4f69d78Ssfencevmaobject LoadReplayCauses {
34e4f69d78Ssfencevma  // these causes have priority, lower coding has higher priority.
35e4f69d78Ssfencevma  // when load replay happens, load unit will select highest priority
36e4f69d78Ssfencevma  // from replay causes vector
37e4f69d78Ssfencevma
38e4f69d78Ssfencevma  /*
39e4f69d78Ssfencevma   * Warning:
40e4f69d78Ssfencevma   * ************************************************************
41e4f69d78Ssfencevma   * * Don't change the priority. If the priority is changed,   *
42e4f69d78Ssfencevma   * * deadlock may occur. If you really need to change or      *
43e4f69d78Ssfencevma   * * add priority, please ensure that no deadlock will occur. *
44e4f69d78Ssfencevma   * ************************************************************
45e4f69d78Ssfencevma   *
46e4f69d78Ssfencevma   */
47e4f69d78Ssfencevma  // st-ld violation re-execute check
48e50f3145Ssfencevma  val C_MA  = 0
49e50f3145Ssfencevma  // tlb miss check
50e50f3145Ssfencevma  val C_TM  = 1
51e4f69d78Ssfencevma  // store-to-load-forwarding check
52e50f3145Ssfencevma  val C_FF  = 2
53e4f69d78Ssfencevma  // dcache replay check
54e50f3145Ssfencevma  val C_DR  = 3
55e4f69d78Ssfencevma  // dcache miss check
56e50f3145Ssfencevma  val C_DM  = 4
57e50f3145Ssfencevma  // wpu predict fail
58e50f3145Ssfencevma  val C_WF  = 5
5914a67055Ssfencevma  // dcache bank conflict check
6014a67055Ssfencevma  val C_BC  = 6
61f2e8d419Ssfencevma  // RAR queue accept check
6214a67055Ssfencevma  val C_RAR = 7
63f2e8d419Ssfencevma  // RAW queue accept check
6414a67055Ssfencevma  val C_RAW = 8
65e50f3145Ssfencevma  // st-ld violation
66e50f3145Ssfencevma  val C_NK  = 9
67e4f69d78Ssfencevma  // total causes
68e50f3145Ssfencevma  val allCauses = 10
69e4f69d78Ssfencevma}
70e4f69d78Ssfencevma
71e4f69d78Ssfencevmaclass AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule {
72e4f69d78Ssfencevma  val io = IO(new Bundle {
73e4f69d78Ssfencevma    // NOTE: deq and enq may come at the same cycle.
74e4f69d78Ssfencevma    val enq = Vec(numEnq, Input(UInt(numEntries.W)))
75e4f69d78Ssfencevma    val deq = Input(UInt(numEntries.W))
76e4f69d78Ssfencevma    val ready = Input(UInt(numEntries.W))
77e4f69d78Ssfencevma    val out = Output(UInt(numEntries.W))
78e4f69d78Ssfencevma  })
79e4f69d78Ssfencevma
80e4f69d78Ssfencevma  // age(i)(j): entry i enters queue before entry j
81e4f69d78Ssfencevma  val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B)))
82e4f69d78Ssfencevma  val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool())))
83e4f69d78Ssfencevma
84e4f69d78Ssfencevma  // to reduce reg usage, only use upper matrix
85e4f69d78Ssfencevma  def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row)
86e4f69d78Ssfencevma  def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row)
87e4f69d78Ssfencevma  def isFlushed(i: Int): Bool = io.deq(i)
88e4f69d78Ssfencevma  def isEnqueued(i: Int, numPorts: Int = -1): Bool = {
89e4f69d78Ssfencevma    val takePorts = if (numPorts == -1) io.enq.length else numPorts
90e4f69d78Ssfencevma    takePorts match {
91e4f69d78Ssfencevma      case 0 => false.B
92e4f69d78Ssfencevma      case 1 => io.enq.head(i) && !isFlushed(i)
93e4f69d78Ssfencevma      case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i)
94e4f69d78Ssfencevma    }
95e4f69d78Ssfencevma  }
96e4f69d78Ssfencevma
97e4f69d78Ssfencevma  for ((row, i) <- nextAge.zipWithIndex) {
98e4f69d78Ssfencevma    val thisValid = get_age(i, i) || isEnqueued(i)
99e4f69d78Ssfencevma    for ((elem, j) <- row.zipWithIndex) {
100e4f69d78Ssfencevma      when (isFlushed(i)) {
101e4f69d78Ssfencevma        // (1) when entry i is flushed or dequeues, set row(i) to false.B
102e4f69d78Ssfencevma        elem := false.B
103e4f69d78Ssfencevma      }.elsewhen (isFlushed(j)) {
104e4f69d78Ssfencevma        // (2) when entry j is flushed or dequeues, set column(j) to validVec
105e4f69d78Ssfencevma        elem := thisValid
106e4f69d78Ssfencevma      }.elsewhen (isEnqueued(i)) {
107e4f69d78Ssfencevma        // (3) when entry i enqueues from port k,
108e4f69d78Ssfencevma        // (3.1) if entry j enqueues from previous ports, set to false
109e4f69d78Ssfencevma        // (3.2) otherwise, set to true if and only of entry j is invalid
110e4f69d78Ssfencevma        // overall: !jEnqFromPreviousPorts && !jIsValid
111e4f69d78Ssfencevma        val sel = io.enq.map(_(i))
112e4f69d78Ssfencevma        val result = (0 until numEnq).map(k => isEnqueued(j, k))
113e4f69d78Ssfencevma        // why ParallelMux: sel must be one-hot since enq is one-hot
114e4f69d78Ssfencevma        elem := !get_age(j, j) && !ParallelMux(sel, result)
115e4f69d78Ssfencevma      }.otherwise {
116e4f69d78Ssfencevma        // default: unchanged
117e4f69d78Ssfencevma        elem := get_age(i, j)
118e4f69d78Ssfencevma      }
119e4f69d78Ssfencevma      age(i)(j) := elem
120e4f69d78Ssfencevma    }
121e4f69d78Ssfencevma  }
122e4f69d78Ssfencevma
123e4f69d78Ssfencevma  def getOldest(get: (Int, Int) => Bool): UInt = {
124e4f69d78Ssfencevma    VecInit((0 until numEntries).map(i => {
125e4f69d78Ssfencevma      io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR
126e4f69d78Ssfencevma    })).asUInt
127e4f69d78Ssfencevma  }
128e4f69d78Ssfencevma  val best = getOldest(get_age)
129e4f69d78Ssfencevma  val nextBest = getOldest(get_next_age)
130e4f69d78Ssfencevma
131e4f69d78Ssfencevma  io.out := (if (regOut) best else nextBest)
132e4f69d78Ssfencevma}
133e4f69d78Ssfencevma
134e4f69d78Ssfencevmaobject AgeDetector {
135e4f69d78Ssfencevma  def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = {
136e4f69d78Ssfencevma    val age = Module(new AgeDetector(numEntries, enq.length, regOut = true))
137e4f69d78Ssfencevma    age.io.enq := enq
138e4f69d78Ssfencevma    age.io.deq := deq
139e4f69d78Ssfencevma    age.io.ready:= ready
140e4f69d78Ssfencevma    val out = Wire(Valid(UInt(deq.getWidth.W)))
141e4f69d78Ssfencevma    out.valid := age.io.out.orR
142e4f69d78Ssfencevma    out.bits := age.io.out
143e4f69d78Ssfencevma    out
144e4f69d78Ssfencevma  }
145e4f69d78Ssfencevma}
146e4f69d78Ssfencevma
147e4f69d78Ssfencevma
148e4f69d78Ssfencevmaclass LoadQueueReplay(implicit p: Parameters) extends XSModule
149e4f69d78Ssfencevma  with HasDCacheParameters
150e4f69d78Ssfencevma  with HasCircularQueuePtrHelper
151e4f69d78Ssfencevma  with HasLoadHelper
152e4f69d78Ssfencevma  with HasPerfEvents
153e4f69d78Ssfencevma{
154e4f69d78Ssfencevma  val io = IO(new Bundle() {
15514a67055Ssfencevma    // control
156e4f69d78Ssfencevma    val redirect = Flipped(ValidIO(new Redirect))
15714a67055Ssfencevma
15814a67055Ssfencevma    // from load unit s3
159e4f69d78Ssfencevma    val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle)))
16014a67055Ssfencevma
16114a67055Ssfencevma    // from sta s1
162e4f69d78Ssfencevma    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
16314a67055Ssfencevma
16414a67055Ssfencevma    // from std s1
165e4f69d78Ssfencevma    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput)))
16614a67055Ssfencevma
16714a67055Ssfencevma    // queue-based replay
168e4f69d78Ssfencevma    val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle))
169e4f69d78Ssfencevma    val refill = Flipped(ValidIO(new Refill))
1709444e131Ssfencevma    val tl_d_channel = Input(new DcacheToLduForwardIO)
17114a67055Ssfencevma
17214a67055Ssfencevma    // from StoreQueue
173e4f69d78Ssfencevma    val stAddrReadySqPtr = Input(new SqPtr)
174e4f69d78Ssfencevma    val stAddrReadyVec   = Input(Vec(StoreQueueSize, Bool()))
175e4f69d78Ssfencevma    val stDataReadySqPtr = Input(new SqPtr)
176e4f69d78Ssfencevma    val stDataReadyVec   = Input(Vec(StoreQueueSize, Bool()))
17714a67055Ssfencevma
17814a67055Ssfencevma    //
179e4f69d78Ssfencevma    val sqEmpty = Input(Bool())
180e4f69d78Ssfencevma    val lqFull  = Output(Bool())
181e4f69d78Ssfencevma    val ldWbPtr = Input(new LqPtr)
182f2e8d419Ssfencevma    val rarFull = Input(Bool())
183f2e8d419Ssfencevma    val rawFull = Input(Bool())
18414a67055Ssfencevma    val l2_hint  = Input(Valid(new L2ToL1Hint()))
18514a67055Ssfencevma    val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W)))
186*60ebee38STang Haojin
187*60ebee38STang Haojin    val debugTopDown = new LoadQueueTopDownIO
188e4f69d78Ssfencevma  })
189e4f69d78Ssfencevma
190e4f69d78Ssfencevma  println("LoadQueueReplay size: " + LoadQueueReplaySize)
191e4f69d78Ssfencevma  //  LoadQueueReplay field:
192e4f69d78Ssfencevma  //  +-----------+---------+-------+-------------+--------+
193e4f69d78Ssfencevma  //  | Allocated | MicroOp | VAddr |    Cause    |  Flags |
194e4f69d78Ssfencevma  //  +-----------+---------+-------+-------------+--------+
195e4f69d78Ssfencevma  //  Allocated   : entry has been allocated already
196e4f69d78Ssfencevma  //  MicroOp     : inst's microOp
197e4f69d78Ssfencevma  //  VAddr       : virtual address
198e4f69d78Ssfencevma  //  Cause       : replay cause
199e4f69d78Ssfencevma  //  Flags       : rar/raw queue allocate flags
200e4f69d78Ssfencevma  val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value
2018a610956Ssfencevma  val scheduled = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
202e4f69d78Ssfencevma  val uop = Reg(Vec(LoadQueueReplaySize, new MicroOp))
203e4f69d78Ssfencevma  val vaddrModule = Module(new LqVAddrModule(
204e4f69d78Ssfencevma    gen = UInt(VAddrBits.W),
205e4f69d78Ssfencevma    numEntries = LoadQueueReplaySize,
206e4f69d78Ssfencevma    numRead = LoadPipelineWidth,
207e4f69d78Ssfencevma    numWrite = LoadPipelineWidth,
208e4f69d78Ssfencevma    numWBank = LoadQueueNWriteBanks,
209e4f69d78Ssfencevma    numWDelay = 2,
210e4f69d78Ssfencevma    numCamPort = 0))
211e4f69d78Ssfencevma  vaddrModule.io := DontCare
212d2b20d1aSTang Haojin  val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W))))
213e4f69d78Ssfencevma  val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W))))
214e50f3145Ssfencevma  val blocking = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
215e4f69d78Ssfencevma
216e4f69d78Ssfencevma  // freeliset: store valid entries index.
217e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
218e4f69d78Ssfencevma  // | 0 | 1 |      ......  | n-2 | n-1 |
219e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
220e4f69d78Ssfencevma  val freeList = Module(new FreeList(
221e4f69d78Ssfencevma    size = LoadQueueReplaySize,
222e4f69d78Ssfencevma    allocWidth = LoadPipelineWidth,
223e4f69d78Ssfencevma    freeWidth = 4,
224f275998aSsfencevma    enablePreAlloc = true,
225e4f69d78Ssfencevma    moduleName = "LoadQueueReplay freelist"
226e4f69d78Ssfencevma  ))
227e4f69d78Ssfencevma  freeList.io := DontCare
228e4f69d78Ssfencevma  /**
229e4f69d78Ssfencevma   * used for re-select control
230e4f69d78Ssfencevma   */
231e4f69d78Ssfencevma  val credit = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
232e4f69d78Ssfencevma  val selBlocked = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
233e4f69d78Ssfencevma  //  Ptrs to control which cycle to choose
234e4f69d78Ssfencevma  val blockPtrTlb = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
235e4f69d78Ssfencevma  //  Specific cycles to block
236e4f69d78Ssfencevma  val blockCyclesTlb = Reg(Vec(4, UInt(ReSelectLen.W)))
237e4f69d78Ssfencevma  blockCyclesTlb := io.tlbReplayDelayCycleCtrl
238e4f69d78Ssfencevma  val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr))
239e4f69d78Ssfencevma  // DCache miss block
240e4f69d78Ssfencevma  val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries).W)))))
241b9e121dfShappy-lx  // Has this load already updated dcache replacement?
242b9e121dfShappy-lx  val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
2430d32f713Shappy-lx  val missDbUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
24414a67055Ssfencevma  val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.C_DM))))
245e4f69d78Ssfencevma  val creditUpdate = WireInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
246e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
247e4f69d78Ssfencevma    creditUpdate(i) := Mux(credit(i) > 0.U(ReSelectLen.W), credit(i)-1.U(ReSelectLen.W), credit(i))
248e4f69d78Ssfencevma    selBlocked(i) := creditUpdate(i) =/= 0.U(ReSelectLen.W) || credit(i) =/= 0.U(ReSelectLen.W)
249e4f69d78Ssfencevma  })
25004665835SMaxpicca-Li  val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(nWays, 0.U, false.B))))
251b9e121dfShappy-lx  val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
252e4f69d78Ssfencevma
253e4f69d78Ssfencevma  /**
254e4f69d78Ssfencevma   * Enqueue
255e4f69d78Ssfencevma   */
256e4f69d78Ssfencevma  val canEnqueue = io.enq.map(_.valid)
257e4f69d78Ssfencevma  val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect))
25814a67055Ssfencevma  val needReplay = io.enq.map(enq => enq.bits.rep_info.need_rep)
259e4f69d78Ssfencevma  val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR && !enq.bits.tlbMiss)
260e4f69d78Ssfencevma  val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay)
261e4f69d78Ssfencevma  val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => {
262e4f69d78Ssfencevma    canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w)
263e4f69d78Ssfencevma  }))
264e4f69d78Ssfencevma  val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => {
265e4f69d78Ssfencevma    canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w))
266e4f69d78Ssfencevma  }))
267e4f69d78Ssfencevma
268e4f69d78Ssfencevma  // select LoadPipelineWidth valid index.
269e4f69d78Ssfencevma  val lqFull = freeList.io.empty
270e4f69d78Ssfencevma  val lqFreeNums = freeList.io.validCount
271e4f69d78Ssfencevma
272e4f69d78Ssfencevma  // replay logic
273e4f69d78Ssfencevma  // release logic generation
274e4f69d78Ssfencevma  val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
275e4f69d78Ssfencevma  val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
276e4f69d78Ssfencevma  val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
277e4f69d78Ssfencevma  val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
278e4f69d78Ssfencevma  val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt
279e4f69d78Ssfencevma  val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt
280e4f69d78Ssfencevma
281e4f69d78Ssfencevma  // store data valid check
282e4f69d78Ssfencevma  val stAddrReadyVec = io.stAddrReadyVec
283e4f69d78Ssfencevma  val stDataReadyVec = io.stDataReadyVec
284e4f69d78Ssfencevma
285e4f69d78Ssfencevma  for (i <- 0 until LoadQueueReplaySize) {
286e4f69d78Ssfencevma    // dequeue
287e4f69d78Ssfencevma    //  FIXME: store*Ptr is not accurate
288159372ddSsfencevma    dataNotBlockVec(i) := !isBefore(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
289e4f69d78Ssfencevma    addrNotBlockVec(i) := !isBefore(io.stAddrReadySqPtr, blockSqIdx(i)) || stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
290e4f69d78Ssfencevma
291e4f69d78Ssfencevma    // store address execute
292e4f69d78Ssfencevma    storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
293e4f69d78Ssfencevma      io.storeAddrIn(w).valid &&
294e4f69d78Ssfencevma      !io.storeAddrIn(w).bits.miss &&
295e4f69d78Ssfencevma      blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx
296e4f69d78Ssfencevma    })).asUInt.orR // for better timing
297e4f69d78Ssfencevma
298e4f69d78Ssfencevma    // store data execute
299e4f69d78Ssfencevma    storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
300e4f69d78Ssfencevma      io.storeDataIn(w).valid &&
301e4f69d78Ssfencevma      blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx
302e4f69d78Ssfencevma    })).asUInt.orR // for better timing
303e4f69d78Ssfencevma
304e4f69d78Ssfencevma  }
305e4f69d78Ssfencevma
306e4f69d78Ssfencevma  // store addr issue check
307e4f69d78Ssfencevma  val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
308e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
309e4f69d78Ssfencevma    stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i)
310e4f69d78Ssfencevma  })
311e4f69d78Ssfencevma
312e4f69d78Ssfencevma  // store data issue check
313e4f69d78Ssfencevma  val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
314e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
315e4f69d78Ssfencevma    stDataDeqVec(i) := allocated(i) && storeDataValidVec(i)
316e4f69d78Ssfencevma  })
317e4f69d78Ssfencevma
318e50f3145Ssfencevma  // update blocking condition
319e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
320e50f3145Ssfencevma    // case C_MA
321e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_MA)) {
322e50f3145Ssfencevma      blocking(i) := Mux(stAddrDeqVec(i), false.B, blocking(i))
323e50f3145Ssfencevma    }
324e50f3145Ssfencevma    // case C_TM
325e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_TM)) {
326e50f3145Ssfencevma      blocking(i) := Mux(creditUpdate(i) === 0.U, false.B, blocking(i))
327e50f3145Ssfencevma    }
328e50f3145Ssfencevma    // case C_FF
329e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_FF)) {
330e50f3145Ssfencevma      blocking(i) := Mux(stDataDeqVec(i), false.B, blocking(i))
331e50f3145Ssfencevma    }
332e50f3145Ssfencevma    // case C_DM
333e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_DM)) {
334e50f3145Ssfencevma      blocking(i) := Mux(io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i), false.B, blocking(i))
335e50f3145Ssfencevma    }
336e50f3145Ssfencevma    // case C_RAR
337e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_RAR)) {
338e50f3145Ssfencevma      blocking(i) := Mux((!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr)), false.B, blocking(i))
339e50f3145Ssfencevma    }
340e50f3145Ssfencevma    // case C_RAW
341e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_RAW)) {
342e50f3145Ssfencevma      blocking(i) := Mux((!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr)), false.B, blocking(i))
343e50f3145Ssfencevma    }
344e4f69d78Ssfencevma  })
345e4f69d78Ssfencevma
346e4f69d78Ssfencevma  //  Replay is splitted into 3 stages
3478a610956Ssfencevma  require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
348e4f69d78Ssfencevma  def getRemBits(input: UInt)(rem: Int): UInt = {
349e4f69d78Ssfencevma    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt
350e4f69d78Ssfencevma  }
351e4f69d78Ssfencevma
352f2e8d419Ssfencevma  def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = {
353f2e8d419Ssfencevma    (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })
354f2e8d419Ssfencevma  }
355f2e8d419Ssfencevma
356e4f69d78Ssfencevma  // stage1: select 2 entries and read their vaddr
357f275998aSsfencevma  val s0_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(LoadQueueReplaySize.W))))
3588a610956Ssfencevma  val s1_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
3598a610956Ssfencevma  val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
3608a610956Ssfencevma  val s2_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
3618a610956Ssfencevma  val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
362e4f69d78Ssfencevma
363e4f69d78Ssfencevma  // generate mask
364e4f69d78Ssfencevma  val needCancel = Wire(Vec(LoadQueueReplaySize, Bool()))
365e4f69d78Ssfencevma  // generate enq mask
366f275998aSsfencevma  val enqIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W)))
367f275998aSsfencevma  val s0_loadEnqFireMask = io.enq.map(x => x.fire && !x.bits.isLoadReplay).zip(enqIndexOH).map(x => Mux(x._1, x._2, 0.U))
3688a610956Ssfencevma  val s0_remLoadEnqFireVec = s0_loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem))))
3698a610956Ssfencevma  val s0_remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(s0_remLoadEnqFireVec.map(x => x(w))))
370e4f69d78Ssfencevma
371e4f69d78Ssfencevma  // generate free mask
3728a610956Ssfencevma  val s0_loadFreeSelMask = needCancel.asUInt
3738a610956Ssfencevma  val s0_remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(s0_loadFreeSelMask)(rem)))
374e4f69d78Ssfencevma
375b9e121dfShappy-lx  // l2 hint wakes up cache missed load
376b9e121dfShappy-lx  // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1
3778a610956Ssfencevma  val s0_loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => {
378e50f3145Ssfencevma    allocated(i) && !scheduled(i) && cause(i)(LoadReplayCauses.C_DM) && blocking(i) && missMSHRId(i) === io.l2_hint.bits.sourceId && io.l2_hint.valid && !needCancel(i)
379b9e121dfShappy-lx  })).asUInt()
380b9e121dfShappy-lx  // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle
3818a610956Ssfencevma  val s0_loadHintSelMask = s0_loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt
3828a610956Ssfencevma  val s0_remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHintSelMask)(rem)))
383e50f3145Ssfencevma  val s0_remHintSelValidVec = VecInit((0 until LoadPipelineWidth).map(rem => ParallelORR(s0_remLoadHintSelMask(rem))))
3848a610956Ssfencevma  val s0_hintSelValid = s0_loadHintSelMask.orR
385b9e121dfShappy-lx
386b9e121dfShappy-lx  // wake up cache missed load
387b9e121dfShappy-lx  (0 until LoadQueueReplaySize).foreach(i => {
3888a610956Ssfencevma    when(s0_loadHintWakeMask(i)) {
389e50f3145Ssfencevma      blocking(i) := false.B
390b9e121dfShappy-lx      creditUpdate(i) := 0.U
391b9e121dfShappy-lx    }
392b9e121dfShappy-lx  })
393b9e121dfShappy-lx
394e4f69d78Ssfencevma  // generate replay mask
395b9e121dfShappy-lx  // replay select priority is given as follow
396b9e121dfShappy-lx  // 1. hint wake up load
397b9e121dfShappy-lx  // 2. higher priority load
398b9e121dfShappy-lx  // 3. lower priority load
3998a610956Ssfencevma  val s0_loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
400e50f3145Ssfencevma    val blocked = selBlocked(i) || blocking(i)
40114a67055Ssfencevma    val hasHigherPriority = cause(i)(LoadReplayCauses.C_DM) || cause(i)(LoadReplayCauses.C_FF)
402f275998aSsfencevma    allocated(i) && !scheduled(i) && !blocked && hasHigherPriority && !needCancel(i)
403e4f69d78Ssfencevma  })).asUInt // use uint instead vec to reduce verilog lines
404e50f3145Ssfencevma  val s0_remLoadHigherPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHigherPriorityReplaySelMask)(rem)))
4058a610956Ssfencevma  val s0_loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
406e50f3145Ssfencevma    val blocked = selBlocked(i) || blocking(i)
40714a67055Ssfencevma    val hasLowerPriority = !cause(i)(LoadReplayCauses.C_DM) && !cause(i)(LoadReplayCauses.C_FF)
408f275998aSsfencevma    allocated(i) && !scheduled(i) && !blocked && hasLowerPriority && !needCancel(i)
409e4f69d78Ssfencevma  })).asUInt // use uint instead vec to reduce verilog lines
410e50f3145Ssfencevma  val s0_remLoadLowerPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadLowerPriorityReplaySelMask)(rem)))
4118a610956Ssfencevma  val s0_loadNormalReplaySelMask = s0_loadLowerPriorityReplaySelMask | s0_loadHigherPriorityReplaySelMask | s0_loadHintSelMask
412e50f3145Ssfencevma  val s0_remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => s0_remLoadLowerPriorityReplaySelMask(rem) | s0_remLoadHigherPriorityReplaySelMask(rem) | s0_remLoadHintSelMask(rem)))
413e50f3145Ssfencevma  val s0_remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => {
414e50f3145Ssfencevma        Mux(s0_remHintSelValidVec(rem), s0_remLoadHintSelMask(rem),
415e50f3145Ssfencevma          Mux(ParallelORR(s0_remLoadHigherPriorityReplaySelMask(rem)), s0_remLoadHigherPriorityReplaySelMask(rem), s0_remLoadLowerPriorityReplaySelMask(rem)))
416e50f3145Ssfencevma      }))
4178a610956Ssfencevma  /******************************************************************************************************
4188a610956Ssfencevma   * WARNING: Make sure that OldestSelectStride must less than or equal stages of load pipeline.        *
4198a610956Ssfencevma   ******************************************************************************************************
420f2e8d419Ssfencevma   */
421f2e8d419Ssfencevma  val OldestSelectStride = 4
422f2e8d419Ssfencevma  val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U)
4238a610956Ssfencevma  val s0_oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => s0_loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j)))
4248a610956Ssfencevma  val s0_remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.take(1)))(rem))
4258a610956Ssfencevma  val s0_remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.drop(1)))(rem))
4268a610956Ssfencevma  val s0_remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => {
427f2e8d419Ssfencevma    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => {
428e50f3145Ssfencevma      Mux(ParallelORR(s0_remOldsetMatchMaskVec(rem).map(_(0))), s0_remOldsetMatchMaskVec(rem)(i)(0), s0_remOlderMatchMaskVec(rem)(i).reduce(_|_))
429f2e8d419Ssfencevma    })).asUInt
430f2e8d419Ssfencevma  }))
4318a610956Ssfencevma  val s0_remOldestHintSelVec = s0_remOldestSelVec.zip(s0_remLoadHintSelMask).map {
432b9e121dfShappy-lx    case(oldestVec, hintVec) => oldestVec & hintVec
433b9e121dfShappy-lx  }
434e4f69d78Ssfencevma
435e4f69d78Ssfencevma  // select oldest logic
4368a610956Ssfencevma  s0_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => {
437e4f69d78Ssfencevma    // select enqueue earlest inst
4388a610956Ssfencevma    val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, s0_remEnqSelVec(rport), s0_remFreeSelVec(rport), s0_remPriorityReplaySelVec(rport))
439e4f69d78Ssfencevma    assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!")
440e4f69d78Ssfencevma    val ageOldestValid = ageOldest.valid
44144cbc983Ssfencevma    val ageOldestIndexOH = ageOldest.bits
442e4f69d78Ssfencevma
443e4f69d78Ssfencevma    // select program order oldest
444e50f3145Ssfencevma    val l2HintFirst = io.l2_hint.valid && ParallelORR(s0_remOldestHintSelVec(rport))
445e50f3145Ssfencevma    val issOldestValid = l2HintFirst || ParallelORR(s0_remOldestSelVec(rport))
44644cbc983Ssfencevma    val issOldestIndexOH = Mux(l2HintFirst, PriorityEncoderOH(s0_remOldestHintSelVec(rport)), PriorityEncoderOH(s0_remOldestSelVec(rport)))
447e4f69d78Ssfencevma
448e4f69d78Ssfencevma    val oldest = Wire(Valid(UInt()))
44944cbc983Ssfencevma    val oldestSel = Mux(issOldestValid, issOldestIndexOH, ageOldestIndexOH)
45044cbc983Ssfencevma    val oldestBitsVec = Wire(Vec(LoadQueueReplaySize, Bool()))
45144cbc983Ssfencevma
45244cbc983Ssfencevma    require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
45344cbc983Ssfencevma    oldestBitsVec.foreach(e => e := false.B)
45444cbc983Ssfencevma    for (i <- 0 until LoadQueueReplaySize / LoadPipelineWidth) {
45544cbc983Ssfencevma      oldestBitsVec(i * LoadPipelineWidth + rport) := oldestSel(i)
45644cbc983Ssfencevma    }
45744cbc983Ssfencevma
458e4f69d78Ssfencevma    oldest.valid := ageOldest.valid || issOldestValid
459f275998aSsfencevma    oldest.bits := oldestBitsVec.asUInt
460e4f69d78Ssfencevma    oldest
461e4f69d78Ssfencevma  }))
462e4f69d78Ssfencevma
463e4f69d78Ssfencevma
464f2e8d419Ssfencevma  // Replay port reorder
465f2e8d419Ssfencevma  class BalanceEntry extends XSBundle {
466f2e8d419Ssfencevma    val balance = Bool()
467f2e8d419Ssfencevma    val index   = UInt(log2Up(LoadQueueReplaySize).W)
468f2e8d419Ssfencevma    val port    = UInt(log2Up(LoadPipelineWidth).W)
469f2e8d419Ssfencevma  }
470f2e8d419Ssfencevma
471f2e8d419Ssfencevma  def balanceReOrder(sel: Seq[ValidIO[BalanceEntry]]): Seq[ValidIO[BalanceEntry]] = {
472f2e8d419Ssfencevma    require(sel.length > 0)
473f2e8d419Ssfencevma    val balancePick = ParallelPriorityMux(sel.map(x => (x.valid && x.bits.balance) -> x))
474f2e8d419Ssfencevma    val reorderSel = Wire(Vec(sel.length, ValidIO(new BalanceEntry)))
475f2e8d419Ssfencevma    (0 until sel.length).map(i =>
476f2e8d419Ssfencevma      if (i == 0) {
477f2e8d419Ssfencevma        when (balancePick.valid && balancePick.bits.balance) {
478f2e8d419Ssfencevma          reorderSel(i) := balancePick
479f2e8d419Ssfencevma        } .otherwise {
480f2e8d419Ssfencevma          reorderSel(i) := sel(i)
481f2e8d419Ssfencevma        }
482f2e8d419Ssfencevma      } else {
483f2e8d419Ssfencevma        when (balancePick.valid && balancePick.bits.balance && i.U === balancePick.bits.port) {
484f2e8d419Ssfencevma          reorderSel(i) := sel(0)
485f2e8d419Ssfencevma        } .otherwise {
486f2e8d419Ssfencevma          reorderSel(i) := sel(i)
487f2e8d419Ssfencevma        }
488f2e8d419Ssfencevma      }
489f2e8d419Ssfencevma    )
490f2e8d419Ssfencevma    reorderSel
491f2e8d419Ssfencevma  }
492e4f69d78Ssfencevma
493e4f69d78Ssfencevma  // stage2: send replay request to load unit
494e4f69d78Ssfencevma  // replay cold down
495e4f69d78Ssfencevma  val ColdDownCycles = 16
496e4f69d78Ssfencevma  val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W))))
497e4f69d78Ssfencevma  val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W))
498e4f69d78Ssfencevma  ColdDownThreshold := Constantin.createRecord("ColdDownThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 12.U)
499e4f69d78Ssfencevma  assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!")
500e4f69d78Ssfencevma
501e4f69d78Ssfencevma  def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold
502e4f69d78Ssfencevma  def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold
503e4f69d78Ssfencevma
504f2e8d419Ssfencevma  val s1_balanceOldestSelExt = (0 until LoadPipelineWidth).map(i => {
505f2e8d419Ssfencevma    val wrapper = Wire(Valid(new BalanceEntry))
506f2e8d419Ssfencevma    wrapper.valid        := s1_oldestSel(i).valid
50714a67055Ssfencevma    wrapper.bits.balance := cause(s1_oldestSel(i).bits)(LoadReplayCauses.C_BC)
508f2e8d419Ssfencevma    wrapper.bits.index   := s1_oldestSel(i).bits
509f2e8d419Ssfencevma    wrapper.bits.port    := i.U
510f2e8d419Ssfencevma    wrapper
511f2e8d419Ssfencevma  })
5128a610956Ssfencevma
5138a610956Ssfencevma  val s1_balanceOldestSel = VecInit(balanceReOrder(s1_balanceOldestSelExt))
5148a610956Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
5158a610956Ssfencevma    val s0_can_go = s1_can_go(s1_balanceOldestSel(i).bits.port) || uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect)
516f275998aSsfencevma    val s0_oldestSelIndexOH = s0_oldestSel(i).bits // one-hot
517f275998aSsfencevma    s1_oldestSel(i).valid := RegEnable(s0_oldestSel(i).valid, s0_can_go)
518f275998aSsfencevma    s1_oldestSel(i).bits := RegEnable(OHToUInt(s0_oldestSel(i).bits), s0_can_go)
5198a610956Ssfencevma
520f275998aSsfencevma    for (j <- 0 until LoadQueueReplaySize) {
521f275998aSsfencevma      when (s0_can_go && s0_oldestSel(i).valid && s0_oldestSelIndexOH(j)) {
522f275998aSsfencevma        scheduled(j) := true.B
523f275998aSsfencevma      }
5248a610956Ssfencevma    }
5258a610956Ssfencevma  }
5268a610956Ssfencevma  val s2_cancelReplay = Wire(Vec(LoadPipelineWidth, Bool()))
5278a610956Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
5288a610956Ssfencevma    val s1_cancel = uop(s1_balanceOldestSel(i).bits.index).robIdx.needFlush(io.redirect)
5298a610956Ssfencevma    val s1_oldestSelV = s1_balanceOldestSel(i).valid && !s1_cancel
5308a610956Ssfencevma    s1_can_go(i)          := Mux(s2_oldestSel(i).valid && !s2_cancelReplay(i), io.replay(i).ready && replayCanFire(i), true.B)
5318a610956Ssfencevma    s2_oldestSel(i).valid := RegEnable(s1_oldestSelV, s1_can_go(i))
5328a610956Ssfencevma    s2_oldestSel(i).bits  := RegEnable(s1_balanceOldestSel(i).bits.index, s1_can_go(i))
5338a610956Ssfencevma
5348a610956Ssfencevma    vaddrModule.io.ren(i) := s1_balanceOldestSel(i).valid && s1_can_go(i)
5358a610956Ssfencevma    vaddrModule.io.raddr(i) := s1_balanceOldestSel(i).bits.index
5368a610956Ssfencevma  }
537f2e8d419Ssfencevma
538e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
5398a610956Ssfencevma    val s1_replayIdx = s1_balanceOldestSel(i).bits.index
5408a610956Ssfencevma    val s2_replayUop = RegEnable(uop(s1_replayIdx), s1_can_go(i))
5418a610956Ssfencevma    val s2_replayMSHRId = RegEnable(missMSHRId(s1_replayIdx), s1_can_go(i))
5428a610956Ssfencevma    val s2_replacementUpdated = RegEnable(replacementUpdated(s1_replayIdx), s1_can_go(i))
5430d32f713Shappy-lx    val s2_missDbUpdated = RegEnable(missDbUpdated(s1_replayIdx), s1_can_go(i))
5448a610956Ssfencevma    val s2_replayCauses = RegEnable(cause(s1_replayIdx), s1_can_go(i))
5458a610956Ssfencevma    val s2_replayCarry = RegEnable(replayCarryReg(s1_replayIdx), s1_can_go(i))
5468a610956Ssfencevma    val s2_replayCacheMissReplay = RegEnable(trueCacheMissReplay(s1_replayIdx), s1_can_go(i))
5478a610956Ssfencevma    s2_cancelReplay(i) := s2_replayUop.robIdx.needFlush(io.redirect)
548e4f69d78Ssfencevma
5498a610956Ssfencevma    s2_can_go(i) := DontCare
5508a610956Ssfencevma    io.replay(i).valid             := s2_oldestSel(i).valid && !s2_cancelReplay(i) && replayCanFire(i)
551e4f69d78Ssfencevma    io.replay(i).bits              := DontCare
552e4f69d78Ssfencevma    io.replay(i).bits.uop          := s2_replayUop
553e4f69d78Ssfencevma    io.replay(i).bits.vaddr        := vaddrModule.io.rdata(i)
554e4f69d78Ssfencevma    io.replay(i).bits.isFirstIssue := false.B
555e4f69d78Ssfencevma    io.replay(i).bits.isLoadReplay := true.B
556e4f69d78Ssfencevma    io.replay(i).bits.replayCarry  := s2_replayCarry
557e4f69d78Ssfencevma    io.replay(i).bits.mshrid       := s2_replayMSHRId
558b9e121dfShappy-lx    io.replay(i).bits.replacementUpdated := s2_replacementUpdated
5590d32f713Shappy-lx    io.replay(i).bits.missDbUpdated := s2_missDbUpdated
56014a67055Ssfencevma    io.replay(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.C_DM)
56114a67055Ssfencevma    io.replay(i).bits.schedIndex   := s2_oldestSel(i).bits
562e4f69d78Ssfencevma
563e4f69d78Ssfencevma    when (io.replay(i).fire) {
5648a610956Ssfencevma      XSError(!allocated(s2_oldestSel(i).bits), p"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?")
565e4f69d78Ssfencevma    }
566e4f69d78Ssfencevma  }
567e4f69d78Ssfencevma
568e4f69d78Ssfencevma  // update cold counter
569e4f69d78Ssfencevma  val lastReplay = RegNext(VecInit(io.replay.map(_.fire)))
570e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
571e4f69d78Ssfencevma    when (lastReplay(i) && io.replay(i).fire) {
572e4f69d78Ssfencevma      coldCounter(i) := coldCounter(i) + 1.U
573e4f69d78Ssfencevma    } .elsewhen (coldDownNow(i)) {
574e4f69d78Ssfencevma      coldCounter(i) := coldCounter(i) + 1.U
575e4f69d78Ssfencevma    } .otherwise {
576e4f69d78Ssfencevma      coldCounter(i) := 0.U
577e4f69d78Ssfencevma    }
578e4f69d78Ssfencevma  }
579e4f69d78Ssfencevma
580e4f69d78Ssfencevma  when(io.refill.valid) {
581e4f69d78Ssfencevma    XSDebug("miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data)
582e4f69d78Ssfencevma  }
583e4f69d78Ssfencevma
584e4f69d78Ssfencevma  //  LoadQueueReplay deallocate
585e4f69d78Ssfencevma  val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool()))
586e4f69d78Ssfencevma
587e4f69d78Ssfencevma  // init
588e4f69d78Ssfencevma  freeMaskVec.map(e => e := false.B)
589e4f69d78Ssfencevma
590e4f69d78Ssfencevma  // Allocate logic
591e4f69d78Ssfencevma  val newEnqueue = (0 until LoadPipelineWidth).map(i => {
592e4f69d78Ssfencevma    needEnqueue(i) && !io.enq(i).bits.isLoadReplay
593e4f69d78Ssfencevma  })
594e4f69d78Ssfencevma
595e4f69d78Ssfencevma  for ((enq, w) <- io.enq.zipWithIndex) {
596e4f69d78Ssfencevma    vaddrModule.io.wen(w) := false.B
597e4f69d78Ssfencevma    freeList.io.doAllocate(w) := false.B
598e4f69d78Ssfencevma
599f275998aSsfencevma    freeList.io.allocateReq(w) := true.B
600e4f69d78Ssfencevma
601e4f69d78Ssfencevma    //  Allocated ready
602f275998aSsfencevma    val offset = PopCount(newEnqueue.take(w))
603f275998aSsfencevma    val canAccept = freeList.io.canAllocate(offset)
604f275998aSsfencevma    val enqIndex = Mux(enq.bits.isLoadReplay, enq.bits.schedIndex, freeList.io.allocateSlot(offset))
605f275998aSsfencevma    enqIndexOH(w) := UIntToOH(enqIndex)
606f275998aSsfencevma    enq.ready := Mux(enq.bits.isLoadReplay, true.B, canAccept)
607e4f69d78Ssfencevma
608e4f69d78Ssfencevma    when (needEnqueue(w) && enq.ready) {
609e4f69d78Ssfencevma
610e4f69d78Ssfencevma      val debug_robIdx = enq.bits.uop.robIdx.asUInt
611e4f69d78Ssfencevma      XSError(allocated(enqIndex) && !enq.bits.isLoadReplay, p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!")
612e4f69d78Ssfencevma      XSError(hasExceptions(w), p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!")
613e4f69d78Ssfencevma
614e4f69d78Ssfencevma      freeList.io.doAllocate(w) := !enq.bits.isLoadReplay
615e4f69d78Ssfencevma
616e4f69d78Ssfencevma      //  Allocate new entry
617e4f69d78Ssfencevma      allocated(enqIndex) := true.B
6188a610956Ssfencevma      scheduled(enqIndex) := false.B
619e4f69d78Ssfencevma      uop(enqIndex)       := enq.bits.uop
620e4f69d78Ssfencevma
621e4f69d78Ssfencevma      vaddrModule.io.wen(w)   := true.B
622e4f69d78Ssfencevma      vaddrModule.io.waddr(w) := enqIndex
623e4f69d78Ssfencevma      vaddrModule.io.wdata(w) := enq.bits.vaddr
624d2b20d1aSTang Haojin      debug_vaddr(enqIndex)   := enq.bits.vaddr
625e4f69d78Ssfencevma
626e4f69d78Ssfencevma      /**
627e4f69d78Ssfencevma       * used for feedback and replay
628e4f69d78Ssfencevma       */
629e4f69d78Ssfencevma      // set flags
63014a67055Ssfencevma      val replayInfo = enq.bits.rep_info
63114a67055Ssfencevma      val dataInLastBeat = replayInfo.last_beat
632e4f69d78Ssfencevma      cause(enqIndex) := replayInfo.cause.asUInt
633e4f69d78Ssfencevma
634e4f69d78Ssfencevma      // update credit
635e4f69d78Ssfencevma      val blockCyclesTlbPtr = blockPtrTlb(enqIndex)
636e4f69d78Ssfencevma
637e4f69d78Ssfencevma      // init
638e50f3145Ssfencevma      blocking(enqIndex)     := true.B
639e4f69d78Ssfencevma      creditUpdate(enqIndex) := 0.U
640e50f3145Ssfencevma
641e50f3145Ssfencevma      // update blocking pointer
642e50f3145Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_BC) ||
643e50f3145Ssfencevma            replayInfo.cause(LoadReplayCauses.C_NK) ||
644e50f3145Ssfencevma            replayInfo.cause(LoadReplayCauses.C_DR)) {
645e50f3145Ssfencevma        // normal case: bank conflict or schedule error or dcache replay
646e50f3145Ssfencevma        // can replay next cycle
647e50f3145Ssfencevma        blocking(enqIndex) := false.B
648e4f69d78Ssfencevma      }
649e4f69d78Ssfencevma
650e4f69d78Ssfencevma      // special case: tlb miss
65114a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_TM)) {
652e50f3145Ssfencevma        creditUpdate(enqIndex) := blockCyclesTlb(blockCyclesTlbPtr)
653e4f69d78Ssfencevma        blockPtrTlb(enqIndex) := Mux(blockPtrTlb(enqIndex) === 3.U(2.W), blockPtrTlb(enqIndex), blockPtrTlb(enqIndex) + 1.U(2.W))
654e4f69d78Ssfencevma      }
655e4f69d78Ssfencevma
656e4f69d78Ssfencevma      // special case: dcache miss
65714a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_DM) && enq.bits.handledByMSHR) {
658e50f3145Ssfencevma        blocking(enqIndex) := !replayInfo.full_fwd && //  dcache miss
6599444e131Ssfencevma                              !(io.tl_d_channel.valid && io.tl_d_channel.mshrid === replayInfo.mshr_id) // no refill in this cycle
660e4f69d78Ssfencevma      }
661e4f69d78Ssfencevma
662e4f69d78Ssfencevma      // special case: st-ld violation
66314a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_MA)) {
66414a67055Ssfencevma        blockSqIdx(enqIndex) := replayInfo.addr_inv_sq_idx
665e4f69d78Ssfencevma      }
666e4f69d78Ssfencevma
667e4f69d78Ssfencevma      // special case: data forward fail
66814a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_FF)) {
66914a67055Ssfencevma        blockSqIdx(enqIndex) := replayInfo.data_inv_sq_idx
670e4f69d78Ssfencevma      }
671b9e121dfShappy-lx      // extra info
67214a67055Ssfencevma      replayCarryReg(enqIndex) := replayInfo.rep_carry
673b9e121dfShappy-lx      replacementUpdated(enqIndex) := enq.bits.replacementUpdated
6740d32f713Shappy-lx      missDbUpdated(enqIndex) := enq.bits.missDbUpdated
67514a67055Ssfencevma      // update mshr_id only when the load has already been handled by mshr
676b9e121dfShappy-lx      when(enq.bits.handledByMSHR) {
67714a67055Ssfencevma        missMSHRId(enqIndex) := replayInfo.mshr_id
678e4f69d78Ssfencevma      }
679b9e121dfShappy-lx      dataInLastBeatReg(enqIndex) := dataInLastBeat
680b9e121dfShappy-lx    }
681e4f69d78Ssfencevma
682e4f69d78Ssfencevma    //
68314a67055Ssfencevma    val schedIndex = enq.bits.schedIndex
684e4f69d78Ssfencevma    when (enq.valid && enq.bits.isLoadReplay) {
685e4f69d78Ssfencevma      when (!needReplay(w) || hasExceptions(w)) {
68614a67055Ssfencevma        allocated(schedIndex) := false.B
68714a67055Ssfencevma        freeMaskVec(schedIndex) := true.B
688e4f69d78Ssfencevma      } .otherwise {
68914a67055Ssfencevma        scheduled(schedIndex) := false.B
690e4f69d78Ssfencevma      }
691e4f69d78Ssfencevma    }
692e4f69d78Ssfencevma  }
693e4f69d78Ssfencevma
694e4f69d78Ssfencevma  // misprediction recovery / exception redirect
695e4f69d78Ssfencevma  for (i <- 0 until LoadQueueReplaySize) {
696e4f69d78Ssfencevma    needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i)
697e4f69d78Ssfencevma    when (needCancel(i)) {
698e4f69d78Ssfencevma      allocated(i) := false.B
699e4f69d78Ssfencevma      freeMaskVec(i) := true.B
700e4f69d78Ssfencevma    }
701e4f69d78Ssfencevma  }
702e4f69d78Ssfencevma
703e4f69d78Ssfencevma  freeList.io.free := freeMaskVec.asUInt
704e4f69d78Ssfencevma
705e4f69d78Ssfencevma  io.lqFull := lqFull
706e4f69d78Ssfencevma
707d2b20d1aSTang Haojin  // Topdown
708*60ebee38STang Haojin  val robHeadVaddr = io.debugTopDown.robHeadVaddr
709d2b20d1aSTang Haojin
710d2b20d1aSTang Haojin  val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp))
711d2b20d1aSTang Haojin  (uop_wrapper.zipWithIndex).foreach {
712d2b20d1aSTang Haojin    case (u, i) => {
713d2b20d1aSTang Haojin      u.uop := uop(i)
714d2b20d1aSTang Haojin    }
715d2b20d1aSTang Haojin  }
716*60ebee38STang Haojin  val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === robHeadVaddr.bits)}
717d2b20d1aSTang Haojin  val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => {
718d2b20d1aSTang Haojin    val (a_v, a_uop) = (a._1, a._2)
719d2b20d1aSTang Haojin    val (b_v, b_uop) = (b._1, b._2)
720d2b20d1aSTang Haojin
721d2b20d1aSTang Haojin    val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop),
722d2b20d1aSTang Haojin                  Mux(a_v, a_uop,
723d2b20d1aSTang Haojin                      Mux(b_v, b_uop,
724d2b20d1aSTang Haojin                                a_uop)))
725d2b20d1aSTang Haojin    (a_v || b_v, res)
726d2b20d1aSTang Haojin  })
727d2b20d1aSTang Haojin
728d2b20d1aSTang Haojin  val lq_match_bits = rob_head_lq_match._2.uop
729*60ebee38STang Haojin  val lq_match      = rob_head_lq_match._1 && robHeadVaddr.valid
730d2b20d1aSTang Haojin  val lq_match_idx  = lq_match_bits.lqIdx.value
731d2b20d1aSTang Haojin
73214a67055Ssfencevma  val rob_head_tlb_miss        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_TM)
73314a67055Ssfencevma  val rob_head_nuke            = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_NK)
73414a67055Ssfencevma  val rob_head_mem_amb         = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_MA)
73514a67055Ssfencevma  val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_BC)
73614a67055Ssfencevma  val rob_head_forward_fail    = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_FF)
73714a67055Ssfencevma  val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DR)
73814a67055Ssfencevma  val rob_head_dcache_miss     = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DM)
73914a67055Ssfencevma  val rob_head_rar_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAR)
74014a67055Ssfencevma  val rob_head_raw_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAW)
74114a67055Ssfencevma  val rob_head_other_replay    = lq_match && (rob_head_rar_nack || rob_head_raw_nack || rob_head_forward_fail)
742d2b20d1aSTang Haojin
74314a67055Ssfencevma  val rob_head_vio_replay = rob_head_nuke || rob_head_mem_amb
744d2b20d1aSTang Haojin
745*60ebee38STang Haojin  val rob_head_miss_in_dtlb = io.debugTopDown.robHeadMissInDTlb
746*60ebee38STang Haojin  io.debugTopDown.robHeadTlbReplay := rob_head_tlb_miss && !rob_head_miss_in_dtlb
747*60ebee38STang Haojin  io.debugTopDown.robHeadTlbMiss := rob_head_tlb_miss && rob_head_miss_in_dtlb
748*60ebee38STang Haojin  io.debugTopDown.robHeadLoadVio := rob_head_vio_replay
749*60ebee38STang Haojin  io.debugTopDown.robHeadLoadMSHR := rob_head_mshrfull_replay
750*60ebee38STang Haojin  io.debugTopDown.robHeadOtherReplay := rob_head_other_replay
751d2b20d1aSTang Haojin  val perfValidCount = RegNext(PopCount(allocated))
752d2b20d1aSTang Haojin
753e4f69d78Ssfencevma  //  perf cnt
75414a67055Ssfencevma  val enqNumber               = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay))
75514a67055Ssfencevma  val deqNumber               = PopCount(io.replay.map(_.fire))
756e4f69d78Ssfencevma  val deqBlockCount           = PopCount(io.replay.map(r => r.valid && !r.ready))
75714a67055Ssfencevma  val replayTlbMissCount      = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_TM)))
75814a67055Ssfencevma  val replayMemAmbCount       = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_NK)))
75914a67055Ssfencevma  val replayNukeCount         = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_MA)))
76014a67055Ssfencevma  val replayRARRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAR)))
76114a67055Ssfencevma  val replayRAWRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAW)))
76214a67055Ssfencevma  val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_BC)))
76314a67055Ssfencevma  val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DR)))
76414a67055Ssfencevma  val replayForwardFailCount  = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_FF)))
76514a67055Ssfencevma  val replayDCacheMissCount   = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DM)))
76614a67055Ssfencevma  XSPerfAccumulate("enq", enqNumber)
76714a67055Ssfencevma  XSPerfAccumulate("deq", deqNumber)
768e4f69d78Ssfencevma  XSPerfAccumulate("deq_block", deqBlockCount)
769e4f69d78Ssfencevma  XSPerfAccumulate("replay_full", io.lqFull)
77014a67055Ssfencevma  XSPerfAccumulate("replay_rar_nack", replayRARRejectCount)
77114a67055Ssfencevma  XSPerfAccumulate("replay_raw_nack", replayRAWRejectCount)
77214a67055Ssfencevma  XSPerfAccumulate("replay_nuke", replayNukeCount)
77314a67055Ssfencevma  XSPerfAccumulate("replay_mem_amb", replayMemAmbCount)
774e4f69d78Ssfencevma  XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount)
775e4f69d78Ssfencevma  XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount)
776e4f69d78Ssfencevma  XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount)
777e4f69d78Ssfencevma  XSPerfAccumulate("replay_forward_fail", replayForwardFailCount)
778e4f69d78Ssfencevma  XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount)
7798a610956Ssfencevma  XSPerfAccumulate("replay_hint_wakeup", s0_hintSelValid)
780e4f69d78Ssfencevma
781e4f69d78Ssfencevma  val perfEvents: Seq[(String, UInt)] = Seq(
78214a67055Ssfencevma    ("enq", enqNumber),
78314a67055Ssfencevma    ("deq", deqNumber),
784e4f69d78Ssfencevma    ("deq_block", deqBlockCount),
785e4f69d78Ssfencevma    ("replay_full", io.lqFull),
78614a67055Ssfencevma    ("replay_rar_nack", replayRARRejectCount),
78714a67055Ssfencevma    ("replay_raw_nack", replayRAWRejectCount),
78814a67055Ssfencevma    ("replay_nuke", replayNukeCount),
78914a67055Ssfencevma    ("replay_mem_amb", replayMemAmbCount),
790e4f69d78Ssfencevma    ("replay_tlb_miss", replayTlbMissCount),
791e4f69d78Ssfencevma    ("replay_bank_conflict", replayBankConflictCount),
792e4f69d78Ssfencevma    ("replay_dcache_replay", replayDCacheReplayCount),
793e4f69d78Ssfencevma    ("replay_forward_fail", replayForwardFailCount),
794e4f69d78Ssfencevma    ("replay_dcache_miss", replayDCacheMissCount),
795e4f69d78Ssfencevma  )
796e4f69d78Ssfencevma  generatePerfEvent()
797e4f69d78Ssfencevma  // end
798e4f69d78Ssfencevma}
799