xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueReplay.scala (revision 522c7f99f123cdd476fa93cd436a39ffff3d94b9)
1e4f69d78Ssfencevma/***************************************************************************************
2e4f69d78Ssfencevma* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3e4f69d78Ssfencevma* Copyright (c) 2020-2021 Peng Cheng Laboratory
4e4f69d78Ssfencevma*
5e4f69d78Ssfencevma* XiangShan is licensed under Mulan PSL v2.
6e4f69d78Ssfencevma* You can use this software according to the terms and conditions of the Mulan PSL v2.
7e4f69d78Ssfencevma* You may obtain a copy of Mulan PSL v2 at:
8e4f69d78Ssfencevma*          http://license.coscl.org.cn/MulanPSL2
9e4f69d78Ssfencevma*
10e4f69d78Ssfencevma* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11e4f69d78Ssfencevma* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12e4f69d78Ssfencevma* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13e4f69d78Ssfencevma*
14e4f69d78Ssfencevma* See the Mulan PSL v2 for more details.
15e4f69d78Ssfencevma***************************************************************************************/
16e4f69d78Ssfencevmapackage xiangshan.mem
17e4f69d78Ssfencevma
189e12e8edScz4eimport org.chipsalliance.cde.config._
19e4f69d78Ssfencevmaimport chisel3._
20e4f69d78Ssfencevmaimport chisel3.util._
21e4f69d78Ssfencevmaimport utils._
22e4f69d78Ssfencevmaimport utility._
239e12e8edScz4eimport xiangshan._
249e12e8edScz4eimport xiangshan.ExceptionNO._
259e12e8edScz4eimport xiangshan.frontend.FtqPtr
269e12e8edScz4eimport xiangshan.backend.rob.{RobLsqIO, RobPtr}
279e12e8edScz4eimport xiangshan.backend.fu.fpu.FPU
289e12e8edScz4eimport xiangshan.backend.fu.FuConfig._
29870f462dSXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuOutput}
309e12e8edScz4eimport xiangshan.mem.Bundles._
319e12e8edScz4eimport xiangshan.mem.mdp._
329e12e8edScz4eimport xiangshan.cache._
339e12e8edScz4eimport xiangshan.cache.wpu.ReplayCarry
349e12e8edScz4eimport xiangshan.cache.mmu._
35375ed6a9Sweiding liuimport math._
36e4f69d78Ssfencevma
37e4f69d78Ssfencevmaobject LoadReplayCauses {
38e4f69d78Ssfencevma  // these causes have priority, lower coding has higher priority.
39e4f69d78Ssfencevma  // when load replay happens, load unit will select highest priority
40e4f69d78Ssfencevma  // from replay causes vector
41e4f69d78Ssfencevma
42e4f69d78Ssfencevma  /*
43e4f69d78Ssfencevma   * Warning:
44e4f69d78Ssfencevma   * ************************************************************
45e4f69d78Ssfencevma   * * Don't change the priority. If the priority is changed,   *
46e4f69d78Ssfencevma   * * deadlock may occur. If you really need to change or      *
47e4f69d78Ssfencevma   * * add priority, please ensure that no deadlock will occur. *
48e4f69d78Ssfencevma   * ************************************************************
49e4f69d78Ssfencevma   *
50e4f69d78Ssfencevma   */
51e4f69d78Ssfencevma  // st-ld violation re-execute check
52e50f3145Ssfencevma  val C_MA  = 0
53e50f3145Ssfencevma  // tlb miss check
54e50f3145Ssfencevma  val C_TM  = 1
55e4f69d78Ssfencevma  // store-to-load-forwarding check
56e50f3145Ssfencevma  val C_FF  = 2
57e4f69d78Ssfencevma  // dcache replay check
58e50f3145Ssfencevma  val C_DR  = 3
59e4f69d78Ssfencevma  // dcache miss check
60e50f3145Ssfencevma  val C_DM  = 4
61e50f3145Ssfencevma  // wpu predict fail
62e50f3145Ssfencevma  val C_WF  = 5
6314a67055Ssfencevma  // dcache bank conflict check
6414a67055Ssfencevma  val C_BC  = 6
65f2e8d419Ssfencevma  // RAR queue accept check
6614a67055Ssfencevma  val C_RAR = 7
67f2e8d419Ssfencevma  // RAW queue accept check
6814a67055Ssfencevma  val C_RAW = 8
69e50f3145Ssfencevma  // st-ld violation
70e50f3145Ssfencevma  val C_NK  = 9
71b240e1c0SAnzooooo  // misalignBuffer Full
72b240e1c0SAnzooooo  val C_MF  = 10
73e4f69d78Ssfencevma  // total causes
74b240e1c0SAnzooooo  val allCauses = 11
75e4f69d78Ssfencevma}
76e4f69d78Ssfencevma
77375ed6a9Sweiding liuclass VecReplayInfo(implicit p: Parameters) extends XSBundle with HasVLSUParameters {
78375ed6a9Sweiding liu  val isvec = Bool()
79375ed6a9Sweiding liu  val isLastElem = Bool()
80375ed6a9Sweiding liu  val is128bit = Bool()
81375ed6a9Sweiding liu  val uop_unit_stride_fof = Bool()
82375ed6a9Sweiding liu  val usSecondInv = Bool()
83375ed6a9Sweiding liu  val elemIdx = UInt(elemIdxBits.W)
84375ed6a9Sweiding liu  val alignedType = UInt(alignTypeBits.W)
85375ed6a9Sweiding liu  val mbIndex = UInt(max(vlmBindexBits, vsmBindexBits).W)
8655178b77Sweiding liu  val elemIdxInsideVd = UInt(elemIdxBits.W)
87375ed6a9Sweiding liu  val reg_offset = UInt(vOffsetBits.W)
88375ed6a9Sweiding liu  val vecActive = Bool()
89375ed6a9Sweiding liu  val is_first_ele = Bool()
90375ed6a9Sweiding liu  val mask = UInt((VLEN/8).W)
91375ed6a9Sweiding liu}
92375ed6a9Sweiding liu
93e4f69d78Ssfencevmaclass AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule {
94e4f69d78Ssfencevma  val io = IO(new Bundle {
95e4f69d78Ssfencevma    // NOTE: deq and enq may come at the same cycle.
96e4f69d78Ssfencevma    val enq = Vec(numEnq, Input(UInt(numEntries.W)))
97e4f69d78Ssfencevma    val deq = Input(UInt(numEntries.W))
98e4f69d78Ssfencevma    val ready = Input(UInt(numEntries.W))
99e4f69d78Ssfencevma    val out = Output(UInt(numEntries.W))
100e4f69d78Ssfencevma  })
101e4f69d78Ssfencevma
102e4f69d78Ssfencevma  // age(i)(j): entry i enters queue before entry j
103e4f69d78Ssfencevma  val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B)))
104e4f69d78Ssfencevma  val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool())))
105e4f69d78Ssfencevma
106e4f69d78Ssfencevma  // to reduce reg usage, only use upper matrix
107e4f69d78Ssfencevma  def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row)
108e4f69d78Ssfencevma  def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row)
109e4f69d78Ssfencevma  def isFlushed(i: Int): Bool = io.deq(i)
110e4f69d78Ssfencevma  def isEnqueued(i: Int, numPorts: Int = -1): Bool = {
111e4f69d78Ssfencevma    val takePorts = if (numPorts == -1) io.enq.length else numPorts
112e4f69d78Ssfencevma    takePorts match {
113e4f69d78Ssfencevma      case 0 => false.B
114e4f69d78Ssfencevma      case 1 => io.enq.head(i) && !isFlushed(i)
115e4f69d78Ssfencevma      case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i)
116e4f69d78Ssfencevma    }
117e4f69d78Ssfencevma  }
118e4f69d78Ssfencevma
119e4f69d78Ssfencevma  for ((row, i) <- nextAge.zipWithIndex) {
120e4f69d78Ssfencevma    val thisValid = get_age(i, i) || isEnqueued(i)
121e4f69d78Ssfencevma    for ((elem, j) <- row.zipWithIndex) {
122e4f69d78Ssfencevma      when (isFlushed(i)) {
123e4f69d78Ssfencevma        // (1) when entry i is flushed or dequeues, set row(i) to false.B
124e4f69d78Ssfencevma        elem := false.B
125e4f69d78Ssfencevma      }.elsewhen (isFlushed(j)) {
126e4f69d78Ssfencevma        // (2) when entry j is flushed or dequeues, set column(j) to validVec
127e4f69d78Ssfencevma        elem := thisValid
128e4f69d78Ssfencevma      }.elsewhen (isEnqueued(i)) {
129e4f69d78Ssfencevma        // (3) when entry i enqueues from port k,
130e4f69d78Ssfencevma        // (3.1) if entry j enqueues from previous ports, set to false
131e4f69d78Ssfencevma        // (3.2) otherwise, set to true if and only of entry j is invalid
132e4f69d78Ssfencevma        // overall: !jEnqFromPreviousPorts && !jIsValid
133e4f69d78Ssfencevma        val sel = io.enq.map(_(i))
134e4f69d78Ssfencevma        val result = (0 until numEnq).map(k => isEnqueued(j, k))
135e4f69d78Ssfencevma        // why ParallelMux: sel must be one-hot since enq is one-hot
136e4f69d78Ssfencevma        elem := !get_age(j, j) && !ParallelMux(sel, result)
137e4f69d78Ssfencevma      }.otherwise {
138e4f69d78Ssfencevma        // default: unchanged
139e4f69d78Ssfencevma        elem := get_age(i, j)
140e4f69d78Ssfencevma      }
141e4f69d78Ssfencevma      age(i)(j) := elem
142e4f69d78Ssfencevma    }
143e4f69d78Ssfencevma  }
144e4f69d78Ssfencevma
145e4f69d78Ssfencevma  def getOldest(get: (Int, Int) => Bool): UInt = {
146e4f69d78Ssfencevma    VecInit((0 until numEntries).map(i => {
147e4f69d78Ssfencevma      io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR
148e4f69d78Ssfencevma    })).asUInt
149e4f69d78Ssfencevma  }
150e4f69d78Ssfencevma  val best = getOldest(get_age)
151e4f69d78Ssfencevma  val nextBest = getOldest(get_next_age)
152e4f69d78Ssfencevma
153e4f69d78Ssfencevma  io.out := (if (regOut) best else nextBest)
154e4f69d78Ssfencevma}
155e4f69d78Ssfencevma
156e4f69d78Ssfencevmaobject AgeDetector {
157e4f69d78Ssfencevma  def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = {
158e4f69d78Ssfencevma    val age = Module(new AgeDetector(numEntries, enq.length, regOut = true))
159e4f69d78Ssfencevma    age.io.enq := enq
160e4f69d78Ssfencevma    age.io.deq := deq
161e4f69d78Ssfencevma    age.io.ready:= ready
162e4f69d78Ssfencevma    val out = Wire(Valid(UInt(deq.getWidth.W)))
163e4f69d78Ssfencevma    out.valid := age.io.out.orR
164e4f69d78Ssfencevma    out.bits := age.io.out
165e4f69d78Ssfencevma    out
166e4f69d78Ssfencevma  }
167e4f69d78Ssfencevma}
168e4f69d78Ssfencevma
169e4f69d78Ssfencevma
170e4f69d78Ssfencevmaclass LoadQueueReplay(implicit p: Parameters) extends XSModule
171e4f69d78Ssfencevma  with HasDCacheParameters
172e4f69d78Ssfencevma  with HasCircularQueuePtrHelper
173e4f69d78Ssfencevma  with HasLoadHelper
174185e6164SHaoyuan Feng  with HasTlbConst
175e4f69d78Ssfencevma  with HasPerfEvents
176e4f69d78Ssfencevma{
177e4f69d78Ssfencevma  val io = IO(new Bundle() {
17814a67055Ssfencevma    // control
179e4f69d78Ssfencevma    val redirect = Flipped(ValidIO(new Redirect))
180627be78bSgood-circle    val vecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO)))
18114a67055Ssfencevma
18214a67055Ssfencevma    // from load unit s3
183e4f69d78Ssfencevma    val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle)))
18414a67055Ssfencevma
18514a67055Ssfencevma    // from sta s1
186e4f69d78Ssfencevma    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
18714a67055Ssfencevma
18814a67055Ssfencevma    // from std s1
18926af847eSgood-circle    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true))))
19014a67055Ssfencevma
19114a67055Ssfencevma    // queue-based replay
192e4f69d78Ssfencevma    val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle))
193692e2fafSHuijin Li   // val refill = Flipped(ValidIO(new Refill))
1949444e131Ssfencevma    val tl_d_channel = Input(new DcacheToLduForwardIO)
19514a67055Ssfencevma
19614a67055Ssfencevma    // from StoreQueue
197e4f69d78Ssfencevma    val stAddrReadySqPtr = Input(new SqPtr)
198e4f69d78Ssfencevma    val stAddrReadyVec   = Input(Vec(StoreQueueSize, Bool()))
199e4f69d78Ssfencevma    val stDataReadySqPtr = Input(new SqPtr)
200e4f69d78Ssfencevma    val stDataReadyVec   = Input(Vec(StoreQueueSize, Bool()))
20114a67055Ssfencevma
20214a67055Ssfencevma    //
203e4f69d78Ssfencevma    val sqEmpty = Input(Bool())
204e4f69d78Ssfencevma    val lqFull  = Output(Bool())
205e4f69d78Ssfencevma    val ldWbPtr = Input(new LqPtr)
206f2e8d419Ssfencevma    val rarFull = Input(Bool())
207f2e8d419Ssfencevma    val rawFull = Input(Bool())
208b240e1c0SAnzooooo    val loadMisalignFull = Input(Bool())
209*522c7f99SAnzo    val misalignAllowSpec = Input(Bool())
21014a67055Ssfencevma    val l2_hint  = Input(Valid(new L2ToL1Hint()))
211185e6164SHaoyuan Feng    val tlb_hint = Flipped(new TlbHintIO)
21214a67055Ssfencevma    val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W)))
21360ebee38STang Haojin
21460ebee38STang Haojin    val debugTopDown = new LoadQueueTopDownIO
215e4f69d78Ssfencevma  })
216e4f69d78Ssfencevma
217e4f69d78Ssfencevma  println("LoadQueueReplay size: " + LoadQueueReplaySize)
218e4f69d78Ssfencevma  //  LoadQueueReplay field:
219e4f69d78Ssfencevma  //  +-----------+---------+-------+-------------+--------+
220e4f69d78Ssfencevma  //  | Allocated | MicroOp | VAddr |    Cause    |  Flags |
221e4f69d78Ssfencevma  //  +-----------+---------+-------+-------------+--------+
222e4f69d78Ssfencevma  //  Allocated   : entry has been allocated already
223e4f69d78Ssfencevma  //  MicroOp     : inst's microOp
224e4f69d78Ssfencevma  //  VAddr       : virtual address
225e4f69d78Ssfencevma  //  Cause       : replay cause
226e4f69d78Ssfencevma  //  Flags       : rar/raw queue allocate flags
227e4f69d78Ssfencevma  val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value
2288a610956Ssfencevma  val scheduled = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
229870f462dSXuan Hu  val uop = Reg(Vec(LoadQueueReplaySize, new DynInst))
230375ed6a9Sweiding liu  val vecReplay = Reg(Vec(LoadQueueReplaySize, new VecReplayInfo))
231e4f69d78Ssfencevma  val vaddrModule = Module(new LqVAddrModule(
232e4f69d78Ssfencevma    gen = UInt(VAddrBits.W),
233e4f69d78Ssfencevma    numEntries = LoadQueueReplaySize,
234e4f69d78Ssfencevma    numRead = LoadPipelineWidth,
235e4f69d78Ssfencevma    numWrite = LoadPipelineWidth,
236e4f69d78Ssfencevma    numWBank = LoadQueueNWriteBanks,
237e4f69d78Ssfencevma    numWDelay = 2,
238e4f69d78Ssfencevma    numCamPort = 0))
239e4f69d78Ssfencevma  vaddrModule.io := DontCare
240d2b20d1aSTang Haojin  val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W))))
241e4f69d78Ssfencevma  val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W))))
242e50f3145Ssfencevma  val blocking = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
24377555c00STang Haojin  val strict = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
244e4f69d78Ssfencevma
245e4f69d78Ssfencevma  // freeliset: store valid entries index.
246e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
247e4f69d78Ssfencevma  // | 0 | 1 |      ......  | n-2 | n-1 |
248e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
249e4f69d78Ssfencevma  val freeList = Module(new FreeList(
250e4f69d78Ssfencevma    size = LoadQueueReplaySize,
251e4f69d78Ssfencevma    allocWidth = LoadPipelineWidth,
252e4f69d78Ssfencevma    freeWidth = 4,
253f275998aSsfencevma    enablePreAlloc = true,
254e4f69d78Ssfencevma    moduleName = "LoadQueueReplay freelist"
255e4f69d78Ssfencevma  ))
256e4f69d78Ssfencevma  freeList.io := DontCare
257e4f69d78Ssfencevma  /**
258e4f69d78Ssfencevma   * used for re-select control
259e4f69d78Ssfencevma   */
260e4f69d78Ssfencevma  val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr))
261e4f69d78Ssfencevma  // DCache miss block
262185e6164SHaoyuan Feng  val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries+1).W)))))
263185e6164SHaoyuan Feng  val tlbHintId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(loadfiltersize+1).W)))))
264b9e121dfShappy-lx  // Has this load already updated dcache replacement?
265b9e121dfShappy-lx  val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
2660d32f713Shappy-lx  val missDbUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
26714a67055Ssfencevma  val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.C_DM))))
26804665835SMaxpicca-Li  val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(nWays, 0.U, false.B))))
269b9e121dfShappy-lx  val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
270dd592719Sweiding liu  //  LoadQueueReplay deallocate
271dd592719Sweiding liu  val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool()))
272e4f69d78Ssfencevma
273e4f69d78Ssfencevma  /**
274e4f69d78Ssfencevma   * Enqueue
275e4f69d78Ssfencevma   */
276e4f69d78Ssfencevma  val canEnqueue = io.enq.map(_.valid)
277e4f69d78Ssfencevma  val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect))
27814a67055Ssfencevma  val needReplay = io.enq.map(enq => enq.bits.rep_info.need_rep)
279870f462dSXuan Hu  val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.exceptionVec, LduCfg).asUInt.orR && !enq.bits.tlbMiss)
280e4f69d78Ssfencevma  val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay)
281e4f69d78Ssfencevma  val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => {
282e4f69d78Ssfencevma    canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w)
283e4f69d78Ssfencevma  }))
2843e2285deScz4e  val newEnqueue = Wire(Vec(LoadPipelineWidth, Bool()))
285e4f69d78Ssfencevma  val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => {
286e4f69d78Ssfencevma    canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w))
287e4f69d78Ssfencevma  }))
288e4f69d78Ssfencevma
289e4f69d78Ssfencevma  // select LoadPipelineWidth valid index.
290e4f69d78Ssfencevma  val lqFull = freeList.io.empty
291e4f69d78Ssfencevma  val lqFreeNums = freeList.io.validCount
292e4f69d78Ssfencevma
293e4f69d78Ssfencevma  // replay logic
294e4f69d78Ssfencevma  // release logic generation
295e4f69d78Ssfencevma  val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
296e4f69d78Ssfencevma  val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
297e4f69d78Ssfencevma  val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
298e4f69d78Ssfencevma  val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
299e4f69d78Ssfencevma  val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt
300e4f69d78Ssfencevma  val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt
301e4f69d78Ssfencevma
302e4f69d78Ssfencevma  // store data valid check
303e4f69d78Ssfencevma  val stAddrReadyVec = io.stAddrReadyVec
304e4f69d78Ssfencevma  val stDataReadyVec = io.stDataReadyVec
305e4f69d78Ssfencevma
306e4f69d78Ssfencevma  for (i <- 0 until LoadQueueReplaySize) {
307e4f69d78Ssfencevma    // dequeue
308e4f69d78Ssfencevma    //  FIXME: store*Ptr is not accurate
309909ea138SAnzo    dataNotBlockVec(i) := isAfter(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
310909ea138SAnzo    addrNotBlockVec(i) := isAfter(io.stAddrReadySqPtr, blockSqIdx(i)) || !strict(i) && stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
311e4f69d78Ssfencevma    // store address execute
312e4f69d78Ssfencevma    storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
313e4f69d78Ssfencevma      io.storeAddrIn(w).valid &&
314e4f69d78Ssfencevma      !io.storeAddrIn(w).bits.miss &&
315e4f69d78Ssfencevma      blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx
316e4f69d78Ssfencevma    })).asUInt.orR // for better timing
317e4f69d78Ssfencevma
318e4f69d78Ssfencevma    // store data execute
319e4f69d78Ssfencevma    storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
320e4f69d78Ssfencevma      io.storeDataIn(w).valid &&
321e4f69d78Ssfencevma      blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx
322e4f69d78Ssfencevma    })).asUInt.orR // for better timing
323e4f69d78Ssfencevma
324e4f69d78Ssfencevma  }
325e4f69d78Ssfencevma
326e4f69d78Ssfencevma  // store addr issue check
327e4f69d78Ssfencevma  val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
328e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
329e4f69d78Ssfencevma    stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i)
330e4f69d78Ssfencevma  })
331e4f69d78Ssfencevma
332e4f69d78Ssfencevma  // store data issue check
333e4f69d78Ssfencevma  val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
334e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
335e4f69d78Ssfencevma    stDataDeqVec(i) := allocated(i) && storeDataValidVec(i)
336e4f69d78Ssfencevma  })
337e4f69d78Ssfencevma
338e50f3145Ssfencevma  // update blocking condition
339e4f69d78Ssfencevma  (0 until LoadQueueReplaySize).map(i => {
340e50f3145Ssfencevma    // case C_MA
341e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_MA)) {
342e50f3145Ssfencevma      blocking(i) := Mux(stAddrDeqVec(i), false.B, blocking(i))
343e50f3145Ssfencevma    }
344e50f3145Ssfencevma    // case C_TM
345e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_TM)) {
346185e6164SHaoyuan Feng      blocking(i) := Mux(io.tlb_hint.resp.valid &&
347185e6164SHaoyuan Feng                     (io.tlb_hint.resp.bits.replay_all ||
348185e6164SHaoyuan Feng                     io.tlb_hint.resp.bits.id === tlbHintId(i)), false.B, blocking(i))
349e50f3145Ssfencevma    }
350e50f3145Ssfencevma    // case C_FF
351e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_FF)) {
352e50f3145Ssfencevma      blocking(i) := Mux(stDataDeqVec(i), false.B, blocking(i))
353e50f3145Ssfencevma    }
354e50f3145Ssfencevma    // case C_DM
355e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_DM)) {
356e50f3145Ssfencevma      blocking(i) := Mux(io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i), false.B, blocking(i))
357e50f3145Ssfencevma    }
358e50f3145Ssfencevma    // case C_RAR
359e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_RAR)) {
360e50f3145Ssfencevma      blocking(i) := Mux((!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr)), false.B, blocking(i))
361e50f3145Ssfencevma    }
362e50f3145Ssfencevma    // case C_RAW
363e50f3145Ssfencevma    when (cause(i)(LoadReplayCauses.C_RAW)) {
364e50f3145Ssfencevma      blocking(i) := Mux((!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr)), false.B, blocking(i))
365e50f3145Ssfencevma    }
366b240e1c0SAnzooooo    // case C_MF
367b240e1c0SAnzooooo    when (cause(i)(LoadReplayCauses.C_MF)) {
368*522c7f99SAnzo      blocking(i) := Mux(!io.loadMisalignFull && (io.misalignAllowSpec || !isAfter(uop(i).lqIdx, io.ldWbPtr)), false.B, blocking(i))
369b240e1c0SAnzooooo    }
370e4f69d78Ssfencevma  })
371e4f69d78Ssfencevma
372e4f69d78Ssfencevma  //  Replay is splitted into 3 stages
3738a610956Ssfencevma  require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
374e4f69d78Ssfencevma  def getRemBits(input: UInt)(rem: Int): UInt = {
375e4f69d78Ssfencevma    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt
376e4f69d78Ssfencevma  }
377e4f69d78Ssfencevma
378f2e8d419Ssfencevma  def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = {
379f2e8d419Ssfencevma    (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })
380f2e8d419Ssfencevma  }
381f2e8d419Ssfencevma
382e4f69d78Ssfencevma  // stage1: select 2 entries and read their vaddr
383f275998aSsfencevma  val s0_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(LoadQueueReplaySize.W))))
3848a610956Ssfencevma  val s1_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
3858a610956Ssfencevma  val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
3868a610956Ssfencevma  val s2_can_go = Wire(Vec(LoadPipelineWidth, Bool()))
3878a610956Ssfencevma  val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W))))
388e4f69d78Ssfencevma
389e4f69d78Ssfencevma  // generate mask
390e4f69d78Ssfencevma  val needCancel = Wire(Vec(LoadQueueReplaySize, Bool()))
391e4f69d78Ssfencevma  // generate enq mask
392f275998aSsfencevma  val enqIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W)))
3933e2285deScz4e  val s0_loadEnqFireMask = newEnqueue.zip(enqIndexOH).map(x => Mux(x._1, x._2, 0.U))
3948a610956Ssfencevma  val s0_remLoadEnqFireVec = s0_loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem))))
3958a610956Ssfencevma  val s0_remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(s0_remLoadEnqFireVec.map(x => x(w))))
396e4f69d78Ssfencevma
397e4f69d78Ssfencevma  // generate free mask
3985003e6f8SHuijin Li  val s0_loadFreeSelMask = GatedRegNext(freeMaskVec.asUInt)
3998a610956Ssfencevma  val s0_remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(s0_loadFreeSelMask)(rem)))
400e4f69d78Ssfencevma
401b9e121dfShappy-lx  // l2 hint wakes up cache missed load
402b9e121dfShappy-lx  // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1
4038a610956Ssfencevma  val s0_loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => {
404cd2ff98bShappy-lx    allocated(i) && !scheduled(i) && cause(i)(LoadReplayCauses.C_DM) && blocking(i) && missMSHRId(i) === io.l2_hint.bits.sourceId && io.l2_hint.valid
405935edac4STang Haojin  })).asUInt
406b9e121dfShappy-lx  // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle
407d2945707SHuijin Li  // when isKeyword = 1, s0_loadHintSelMask need overturn
408d2945707SHuijin Li    val s0_loadHintSelMask = Mux(
409d2945707SHuijin Li     io.l2_hint.bits.isKeyword,
410d2945707SHuijin Li     s0_loadHintWakeMask & dataInLastBeatReg.asUInt,
411d2945707SHuijin Li     s0_loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt
412d2945707SHuijin Li     )
4138a610956Ssfencevma  val s0_remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHintSelMask)(rem)))
414e50f3145Ssfencevma  val s0_remHintSelValidVec = VecInit((0 until LoadPipelineWidth).map(rem => ParallelORR(s0_remLoadHintSelMask(rem))))
415cd2ff98bShappy-lx  val s0_hintSelValid = ParallelORR(s0_loadHintSelMask)
416b9e121dfShappy-lx
417b9e121dfShappy-lx  // wake up cache missed load
418b9e121dfShappy-lx  (0 until LoadQueueReplaySize).foreach(i => {
4198a610956Ssfencevma    when(s0_loadHintWakeMask(i)) {
420e50f3145Ssfencevma      blocking(i) := false.B
421b9e121dfShappy-lx    }
422b9e121dfShappy-lx  })
423b9e121dfShappy-lx
424e4f69d78Ssfencevma  // generate replay mask
425b9e121dfShappy-lx  // replay select priority is given as follow
426b9e121dfShappy-lx  // 1. hint wake up load
427b9e121dfShappy-lx  // 2. higher priority load
428b9e121dfShappy-lx  // 3. lower priority load
4298a610956Ssfencevma  val s0_loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
43014a67055Ssfencevma    val hasHigherPriority = cause(i)(LoadReplayCauses.C_DM) || cause(i)(LoadReplayCauses.C_FF)
431cd2ff98bShappy-lx    allocated(i) && !scheduled(i) && !blocking(i) && hasHigherPriority
432e4f69d78Ssfencevma  })).asUInt // use uint instead vec to reduce verilog lines
433e50f3145Ssfencevma  val s0_remLoadHigherPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHigherPriorityReplaySelMask)(rem)))
4348a610956Ssfencevma  val s0_loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
43514a67055Ssfencevma    val hasLowerPriority = !cause(i)(LoadReplayCauses.C_DM) && !cause(i)(LoadReplayCauses.C_FF)
436cd2ff98bShappy-lx    allocated(i) && !scheduled(i) && !blocking(i) && hasLowerPriority
437e4f69d78Ssfencevma  })).asUInt // use uint instead vec to reduce verilog lines
438e50f3145Ssfencevma  val s0_remLoadLowerPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadLowerPriorityReplaySelMask)(rem)))
4398a610956Ssfencevma  val s0_loadNormalReplaySelMask = s0_loadLowerPriorityReplaySelMask | s0_loadHigherPriorityReplaySelMask | s0_loadHintSelMask
440e50f3145Ssfencevma  val s0_remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => s0_remLoadLowerPriorityReplaySelMask(rem) | s0_remLoadHigherPriorityReplaySelMask(rem) | s0_remLoadHintSelMask(rem)))
441e50f3145Ssfencevma  val s0_remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => {
442e50f3145Ssfencevma        Mux(s0_remHintSelValidVec(rem), s0_remLoadHintSelMask(rem),
443e50f3145Ssfencevma          Mux(ParallelORR(s0_remLoadHigherPriorityReplaySelMask(rem)), s0_remLoadHigherPriorityReplaySelMask(rem), s0_remLoadLowerPriorityReplaySelMask(rem)))
444e50f3145Ssfencevma      }))
4458a610956Ssfencevma  /******************************************************************************************************
4468a610956Ssfencevma   * WARNING: Make sure that OldestSelectStride must less than or equal stages of load pipeline.        *
4478a610956Ssfencevma   ******************************************************************************************************
448f2e8d419Ssfencevma   */
449f2e8d419Ssfencevma  val OldestSelectStride = 4
450f2e8d419Ssfencevma  val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U)
4518a610956Ssfencevma  val s0_oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => s0_loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j)))
4528a610956Ssfencevma  val s0_remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.take(1)))(rem))
4538a610956Ssfencevma  val s0_remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.drop(1)))(rem))
4548a610956Ssfencevma  val s0_remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => {
455f2e8d419Ssfencevma    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => {
456e50f3145Ssfencevma      Mux(ParallelORR(s0_remOldsetMatchMaskVec(rem).map(_(0))), s0_remOldsetMatchMaskVec(rem)(i)(0), s0_remOlderMatchMaskVec(rem)(i).reduce(_|_))
457f2e8d419Ssfencevma    })).asUInt
458f2e8d419Ssfencevma  }))
4598a610956Ssfencevma  val s0_remOldestHintSelVec = s0_remOldestSelVec.zip(s0_remLoadHintSelMask).map {
460b9e121dfShappy-lx    case(oldestVec, hintVec) => oldestVec & hintVec
461b9e121dfShappy-lx  }
462e4f69d78Ssfencevma
463e4f69d78Ssfencevma  // select oldest logic
4648a610956Ssfencevma  s0_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => {
465e4f69d78Ssfencevma    // select enqueue earlest inst
4668a610956Ssfencevma    val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, s0_remEnqSelVec(rport), s0_remFreeSelVec(rport), s0_remPriorityReplaySelVec(rport))
467e4f69d78Ssfencevma    assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!")
468e4f69d78Ssfencevma    val ageOldestValid = ageOldest.valid
46944cbc983Ssfencevma    val ageOldestIndexOH = ageOldest.bits
470e4f69d78Ssfencevma
471e4f69d78Ssfencevma    // select program order oldest
472e50f3145Ssfencevma    val l2HintFirst = io.l2_hint.valid && ParallelORR(s0_remOldestHintSelVec(rport))
473e50f3145Ssfencevma    val issOldestValid = l2HintFirst || ParallelORR(s0_remOldestSelVec(rport))
47444cbc983Ssfencevma    val issOldestIndexOH = Mux(l2HintFirst, PriorityEncoderOH(s0_remOldestHintSelVec(rport)), PriorityEncoderOH(s0_remOldestSelVec(rport)))
475e4f69d78Ssfencevma
476e4f69d78Ssfencevma    val oldest = Wire(Valid(UInt()))
47744cbc983Ssfencevma    val oldestSel = Mux(issOldestValid, issOldestIndexOH, ageOldestIndexOH)
47844cbc983Ssfencevma    val oldestBitsVec = Wire(Vec(LoadQueueReplaySize, Bool()))
47944cbc983Ssfencevma
48044cbc983Ssfencevma    require((LoadQueueReplaySize % LoadPipelineWidth) == 0)
48144cbc983Ssfencevma    oldestBitsVec.foreach(e => e := false.B)
48244cbc983Ssfencevma    for (i <- 0 until LoadQueueReplaySize / LoadPipelineWidth) {
48344cbc983Ssfencevma      oldestBitsVec(i * LoadPipelineWidth + rport) := oldestSel(i)
48444cbc983Ssfencevma    }
48544cbc983Ssfencevma
486e4f69d78Ssfencevma    oldest.valid := ageOldest.valid || issOldestValid
487f275998aSsfencevma    oldest.bits := oldestBitsVec.asUInt
488e4f69d78Ssfencevma    oldest
489e4f69d78Ssfencevma  }))
490e4f69d78Ssfencevma
491e4f69d78Ssfencevma  // stage2: send replay request to load unit
492e4f69d78Ssfencevma  // replay cold down
493e4f69d78Ssfencevma  val ColdDownCycles = 16
494e4f69d78Ssfencevma  val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W))))
495e4f69d78Ssfencevma  val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W))
496c686adcdSYinan Xu  ColdDownThreshold := Constantin.createRecord(s"ColdDownThreshold_${p(XSCoreParamsKey).HartId}", initValue = 12)
497e4f69d78Ssfencevma  assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!")
498e4f69d78Ssfencevma
499e4f69d78Ssfencevma  def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold
500e4f69d78Ssfencevma  def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold
501e4f69d78Ssfencevma
50200c60a60SHaojin Tang  val replay_req = Wire(Vec(LoadPipelineWidth, DecoupledIO(new LsPipelineBundle)))
50300c60a60SHaojin Tang
5048a610956Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
505cd2ff98bShappy-lx    val s0_can_go = s1_can_go(i) ||
506cd2ff98bShappy-lx                    uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) ||
507cd2ff98bShappy-lx                    uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect))
508f275998aSsfencevma    val s0_oldestSelIndexOH = s0_oldestSel(i).bits // one-hot
5093953b704STang Haojin    s1_oldestSel(i).valid := RegEnable(s0_oldestSel(i).valid, false.B, s0_can_go)
510f275998aSsfencevma    s1_oldestSel(i).bits := RegEnable(OHToUInt(s0_oldestSel(i).bits), s0_can_go)
5118a610956Ssfencevma
512f275998aSsfencevma    for (j <- 0 until LoadQueueReplaySize) {
513f275998aSsfencevma      when (s0_can_go && s0_oldestSel(i).valid && s0_oldestSelIndexOH(j)) {
514f275998aSsfencevma        scheduled(j) := true.B
515f275998aSsfencevma      }
5168a610956Ssfencevma    }
5178a610956Ssfencevma  }
5188a610956Ssfencevma  val s2_cancelReplay = Wire(Vec(LoadPipelineWidth, Bool()))
5198a610956Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
520cd2ff98bShappy-lx    val s1_cancel = uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) ||
521cd2ff98bShappy-lx                    uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect))
522cd2ff98bShappy-lx    val s1_oldestSelV = s1_oldestSel(i).valid && !s1_cancel
52300c60a60SHaojin Tang    s1_can_go(i)          := replayCanFire(i) && (!s2_oldestSel(i).valid || replay_req(i).fire) || s2_cancelReplay(i)
5240ffeff0dSXuan Hu    s2_oldestSel(i).valid := RegEnable(Mux(s1_can_go(i), s1_oldestSelV, false.B), false.B, (s1_can_go(i) || replay_req(i).fire))
525cd2ff98bShappy-lx    s2_oldestSel(i).bits  := RegEnable(s1_oldestSel(i).bits, s1_can_go(i))
5268a610956Ssfencevma
527cd2ff98bShappy-lx    vaddrModule.io.ren(i) := s1_oldestSel(i).valid && s1_can_go(i)
528cd2ff98bShappy-lx    vaddrModule.io.raddr(i) := s1_oldestSel(i).bits
5298a610956Ssfencevma  }
530f2e8d419Ssfencevma
531e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
532cd2ff98bShappy-lx    val s1_replayIdx = s1_oldestSel(i).bits
5338a610956Ssfencevma    val s2_replayUop = RegEnable(uop(s1_replayIdx), s1_can_go(i))
534375ed6a9Sweiding liu    val s2_vecReplay = RegEnable(vecReplay(s1_replayIdx), s1_can_go(i))
5358a610956Ssfencevma    val s2_replayMSHRId = RegEnable(missMSHRId(s1_replayIdx), s1_can_go(i))
5368a610956Ssfencevma    val s2_replacementUpdated = RegEnable(replacementUpdated(s1_replayIdx), s1_can_go(i))
5370d32f713Shappy-lx    val s2_missDbUpdated = RegEnable(missDbUpdated(s1_replayIdx), s1_can_go(i))
5388a610956Ssfencevma    val s2_replayCauses = RegEnable(cause(s1_replayIdx), s1_can_go(i))
5398a610956Ssfencevma    val s2_replayCarry = RegEnable(replayCarryReg(s1_replayIdx), s1_can_go(i))
5408a610956Ssfencevma    val s2_replayCacheMissReplay = RegEnable(trueCacheMissReplay(s1_replayIdx), s1_can_go(i))
5418a610956Ssfencevma    s2_cancelReplay(i) := s2_replayUop.robIdx.needFlush(io.redirect)
542e4f69d78Ssfencevma
5438a610956Ssfencevma    s2_can_go(i) := DontCare
54400c60a60SHaojin Tang    replay_req(i).valid             := s2_oldestSel(i).valid
54500c60a60SHaojin Tang    replay_req(i).bits              := DontCare
54600c60a60SHaojin Tang    replay_req(i).bits.uop          := s2_replayUop
547e7ab4635SHuijin Li    replay_req(i).bits.uop.exceptionVec(loadAddrMisaligned) := false.B
548375ed6a9Sweiding liu    replay_req(i).bits.isvec        := s2_vecReplay.isvec
549375ed6a9Sweiding liu    replay_req(i).bits.isLastElem   := s2_vecReplay.isLastElem
550375ed6a9Sweiding liu    replay_req(i).bits.is128bit     := s2_vecReplay.is128bit
551375ed6a9Sweiding liu    replay_req(i).bits.uop_unit_stride_fof := s2_vecReplay.uop_unit_stride_fof
552375ed6a9Sweiding liu    replay_req(i).bits.usSecondInv  := s2_vecReplay.usSecondInv
553375ed6a9Sweiding liu    replay_req(i).bits.elemIdx      := s2_vecReplay.elemIdx
554375ed6a9Sweiding liu    replay_req(i).bits.alignedType  := s2_vecReplay.alignedType
555375ed6a9Sweiding liu    replay_req(i).bits.mbIndex      := s2_vecReplay.mbIndex
55655178b77Sweiding liu    replay_req(i).bits.elemIdxInsideVd := s2_vecReplay.elemIdxInsideVd
557375ed6a9Sweiding liu    replay_req(i).bits.reg_offset   := s2_vecReplay.reg_offset
558375ed6a9Sweiding liu    replay_req(i).bits.vecActive    := s2_vecReplay.vecActive
559375ed6a9Sweiding liu    replay_req(i).bits.is_first_ele := s2_vecReplay.is_first_ele
560375ed6a9Sweiding liu    replay_req(i).bits.mask         := s2_vecReplay.mask
56100c60a60SHaojin Tang    replay_req(i).bits.vaddr        := vaddrModule.io.rdata(i)
56200c60a60SHaojin Tang    replay_req(i).bits.isFirstIssue := false.B
56300c60a60SHaojin Tang    replay_req(i).bits.isLoadReplay := true.B
56400c60a60SHaojin Tang    replay_req(i).bits.replayCarry  := s2_replayCarry
56500c60a60SHaojin Tang    replay_req(i).bits.mshrid       := s2_replayMSHRId
56600c60a60SHaojin Tang    replay_req(i).bits.replacementUpdated := s2_replacementUpdated
56700c60a60SHaojin Tang    replay_req(i).bits.missDbUpdated := s2_missDbUpdated
56800c60a60SHaojin Tang    replay_req(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.C_DM)
56900c60a60SHaojin Tang    replay_req(i).bits.schedIndex   := s2_oldestSel(i).bits
57077555c00STang Haojin    replay_req(i).bits.uop.loadWaitStrict := false.B
571e4f69d78Ssfencevma
5728b33cd30Sklin02    XSError(replay_req(i).fire && !allocated(s2_oldestSel(i).bits), p"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?")
573e4f69d78Ssfencevma  }
574e4f69d78Ssfencevma
575c686adcdSYinan Xu  val EnableHybridUnitReplay = Constantin.createRecord("EnableHybridUnitReplay", true)
57600c60a60SHaojin Tang  when(EnableHybridUnitReplay) {
57700c60a60SHaojin Tang    for (i <- 0 until LoadPipelineWidth)
57800c60a60SHaojin Tang      io.replay(i) <> replay_req(i)
57900c60a60SHaojin Tang  }.otherwise {
58000c60a60SHaojin Tang    io.replay(0) <> replay_req(0)
58100c60a60SHaojin Tang    io.replay(2).valid := false.B
58200c60a60SHaojin Tang    io.replay(2).bits := DontCare
58300c60a60SHaojin Tang
58400c60a60SHaojin Tang    val arbiter = Module(new RRArbiter(new LsPipelineBundle, 2))
58500c60a60SHaojin Tang    arbiter.io.in(0) <> replay_req(1)
58600c60a60SHaojin Tang    arbiter.io.in(1) <> replay_req(2)
58700c60a60SHaojin Tang    io.replay(1) <> arbiter.io.out
58800c60a60SHaojin Tang  }
589e4f69d78Ssfencevma  // update cold counter
590e4f69d78Ssfencevma  val lastReplay = RegNext(VecInit(io.replay.map(_.fire)))
591e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
592e4f69d78Ssfencevma    when (lastReplay(i) && io.replay(i).fire) {
593e4f69d78Ssfencevma      coldCounter(i) := coldCounter(i) + 1.U
594e4f69d78Ssfencevma    } .elsewhen (coldDownNow(i)) {
595e4f69d78Ssfencevma      coldCounter(i) := coldCounter(i) + 1.U
596e4f69d78Ssfencevma    } .otherwise {
597e4f69d78Ssfencevma      coldCounter(i) := 0.U
598e4f69d78Ssfencevma    }
599e4f69d78Ssfencevma  }
600e4f69d78Ssfencevma
6018b33cd30Sklin02  // XSDebug(io.refill.valid, "miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data)
6028b33cd30Sklin02
603e4f69d78Ssfencevma
604e4f69d78Ssfencevma  // init
605e4f69d78Ssfencevma  freeMaskVec.map(e => e := false.B)
606e4f69d78Ssfencevma
60719bd5ff8SAnzo  // LoadQueueReplay can't backpressure.
60819bd5ff8SAnzo  // We think LoadQueueReplay can always enter, as long as it is the same size as VirtualLoadQueue.
60919bd5ff8SAnzo  assert(freeList.io.canAllocate.reduce(_ || _) || !io.enq.map(_.valid).reduce(_ || _), s"LoadQueueReplay Overflow")
61019bd5ff8SAnzo
611e4f69d78Ssfencevma  // Allocate logic
6123e2285deScz4e  needEnqueue.zip(newEnqueue).zip(io.enq).map {
6133e2285deScz4e    case ((needEnq, newEnq), enq) =>
6143e2285deScz4e      newEnq := needEnq && !enq.bits.isLoadReplay
6153e2285deScz4e  }
616e4f69d78Ssfencevma
617e4f69d78Ssfencevma  for ((enq, w) <- io.enq.zipWithIndex) {
618e4f69d78Ssfencevma    vaddrModule.io.wen(w) := false.B
619e4f69d78Ssfencevma    freeList.io.doAllocate(w) := false.B
620e4f69d78Ssfencevma
621f275998aSsfencevma    freeList.io.allocateReq(w) := true.B
622e4f69d78Ssfencevma
623e4f69d78Ssfencevma    //  Allocated ready
624f275998aSsfencevma    val offset = PopCount(newEnqueue.take(w))
625f275998aSsfencevma    val enqIndex = Mux(enq.bits.isLoadReplay, enq.bits.schedIndex, freeList.io.allocateSlot(offset))
626f275998aSsfencevma    enqIndexOH(w) := UIntToOH(enqIndex)
62719bd5ff8SAnzo    enq.ready := true.B
628e4f69d78Ssfencevma
629e4f69d78Ssfencevma    val debug_robIdx = enq.bits.uop.robIdx.asUInt
6308b33cd30Sklin02    XSError(
6318b33cd30Sklin02      needEnqueue(w) && enq.ready &&
6328b33cd30Sklin02      allocated(enqIndex) && !enq.bits.isLoadReplay,
6338b33cd30Sklin02      p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!")
6348b33cd30Sklin02    XSError(
6358b33cd30Sklin02      needEnqueue(w) && enq.ready &&
6368b33cd30Sklin02      hasExceptions(w),
6378b33cd30Sklin02      p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!")
6388b33cd30Sklin02    when (needEnqueue(w) && enq.ready) {
639e4f69d78Ssfencevma      freeList.io.doAllocate(w) := !enq.bits.isLoadReplay
640e4f69d78Ssfencevma
641e4f69d78Ssfencevma      //  Allocate new entry
642e4f69d78Ssfencevma      allocated(enqIndex) := true.B
6438a610956Ssfencevma      scheduled(enqIndex) := false.B
644e4f69d78Ssfencevma      uop(enqIndex)       := enq.bits.uop
645375ed6a9Sweiding liu      vecReplay(enqIndex).isvec := enq.bits.isvec
646375ed6a9Sweiding liu      vecReplay(enqIndex).isLastElem := enq.bits.isLastElem
647375ed6a9Sweiding liu      vecReplay(enqIndex).is128bit := enq.bits.is128bit
648375ed6a9Sweiding liu      vecReplay(enqIndex).uop_unit_stride_fof := enq.bits.uop_unit_stride_fof
649375ed6a9Sweiding liu      vecReplay(enqIndex).usSecondInv := enq.bits.usSecondInv
650375ed6a9Sweiding liu      vecReplay(enqIndex).elemIdx := enq.bits.elemIdx
651375ed6a9Sweiding liu      vecReplay(enqIndex).alignedType:= enq.bits.alignedType
652375ed6a9Sweiding liu      vecReplay(enqIndex).mbIndex := enq.bits.mbIndex
65355178b77Sweiding liu      vecReplay(enqIndex).elemIdxInsideVd := enq.bits.elemIdxInsideVd
654375ed6a9Sweiding liu      vecReplay(enqIndex).reg_offset := enq.bits.reg_offset
655375ed6a9Sweiding liu      vecReplay(enqIndex).vecActive := enq.bits.vecActive
656375ed6a9Sweiding liu      vecReplay(enqIndex).is_first_ele := enq.bits.is_first_ele
657375ed6a9Sweiding liu      vecReplay(enqIndex).mask         := enq.bits.mask
658e4f69d78Ssfencevma
659e4f69d78Ssfencevma      vaddrModule.io.wen(w)   := true.B
660e4f69d78Ssfencevma      vaddrModule.io.waddr(w) := enqIndex
661e4f69d78Ssfencevma      vaddrModule.io.wdata(w) := enq.bits.vaddr
662d2b20d1aSTang Haojin      debug_vaddr(enqIndex)   := enq.bits.vaddr
663e4f69d78Ssfencevma
664e4f69d78Ssfencevma      /**
665e4f69d78Ssfencevma       * used for feedback and replay
666e4f69d78Ssfencevma       */
667e4f69d78Ssfencevma      // set flags
66814a67055Ssfencevma      val replayInfo = enq.bits.rep_info
66914a67055Ssfencevma      val dataInLastBeat = replayInfo.last_beat
670e4f69d78Ssfencevma      cause(enqIndex) := replayInfo.cause.asUInt
671e4f69d78Ssfencevma
672e4f69d78Ssfencevma
673e4f69d78Ssfencevma      // init
674e50f3145Ssfencevma      blocking(enqIndex)     := true.B
67577555c00STang Haojin      strict(enqIndex)       := false.B
676e50f3145Ssfencevma
677e50f3145Ssfencevma      // update blocking pointer
678e50f3145Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_BC) ||
679e50f3145Ssfencevma            replayInfo.cause(LoadReplayCauses.C_NK) ||
6804b506377Ssfencevma            replayInfo.cause(LoadReplayCauses.C_DR) ||
6814b506377Ssfencevma            replayInfo.cause(LoadReplayCauses.C_WF)) {
682e50f3145Ssfencevma        // normal case: bank conflict or schedule error or dcache replay
683e50f3145Ssfencevma        // can replay next cycle
684e50f3145Ssfencevma        blocking(enqIndex) := false.B
685e4f69d78Ssfencevma      }
686e4f69d78Ssfencevma
687e4f69d78Ssfencevma      // special case: tlb miss
68814a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_TM)) {
689185e6164SHaoyuan Feng        blocking(enqIndex) := !replayInfo.tlb_full &&
690185e6164SHaoyuan Feng          !(io.tlb_hint.resp.valid && (io.tlb_hint.resp.bits.id === replayInfo.tlb_id || io.tlb_hint.resp.bits.replay_all))
691185e6164SHaoyuan Feng        tlbHintId(enqIndex) := replayInfo.tlb_id
692e4f69d78Ssfencevma      }
693e4f69d78Ssfencevma
694e4f69d78Ssfencevma      // special case: dcache miss
69514a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_DM) && enq.bits.handledByMSHR) {
696e50f3145Ssfencevma        blocking(enqIndex) := !replayInfo.full_fwd && //  dcache miss
6979444e131Ssfencevma                              !(io.tl_d_channel.valid && io.tl_d_channel.mshrid === replayInfo.mshr_id) // no refill in this cycle
698e4f69d78Ssfencevma      }
699e4f69d78Ssfencevma
700e4f69d78Ssfencevma      // special case: st-ld violation
70114a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_MA)) {
70214a67055Ssfencevma        blockSqIdx(enqIndex) := replayInfo.addr_inv_sq_idx
70377555c00STang Haojin        strict(enqIndex) := enq.bits.uop.loadWaitStrict
704e4f69d78Ssfencevma      }
705e4f69d78Ssfencevma
706e4f69d78Ssfencevma      // special case: data forward fail
70714a67055Ssfencevma      when (replayInfo.cause(LoadReplayCauses.C_FF)) {
70814a67055Ssfencevma        blockSqIdx(enqIndex) := replayInfo.data_inv_sq_idx
709e4f69d78Ssfencevma      }
710b9e121dfShappy-lx      // extra info
71114a67055Ssfencevma      replayCarryReg(enqIndex) := replayInfo.rep_carry
712b9e121dfShappy-lx      replacementUpdated(enqIndex) := enq.bits.replacementUpdated
7130d32f713Shappy-lx      missDbUpdated(enqIndex) := enq.bits.missDbUpdated
71414a67055Ssfencevma      // update mshr_id only when the load has already been handled by mshr
715b9e121dfShappy-lx      when(enq.bits.handledByMSHR) {
71614a67055Ssfencevma        missMSHRId(enqIndex) := replayInfo.mshr_id
717e4f69d78Ssfencevma      }
718b9e121dfShappy-lx      dataInLastBeatReg(enqIndex) := dataInLastBeat
719d2945707SHuijin Li      //dataInLastBeatReg(enqIndex) := Mux(io.l2_hint.bits.isKeyword, !dataInLastBeat, dataInLastBeat)
720b9e121dfShappy-lx    }
721e4f69d78Ssfencevma
722e4f69d78Ssfencevma    //
72314a67055Ssfencevma    val schedIndex = enq.bits.schedIndex
724e4f69d78Ssfencevma    when (enq.valid && enq.bits.isLoadReplay) {
725e4f69d78Ssfencevma      when (!needReplay(w) || hasExceptions(w)) {
72614a67055Ssfencevma        allocated(schedIndex) := false.B
72714a67055Ssfencevma        freeMaskVec(schedIndex) := true.B
728e4f69d78Ssfencevma      } .otherwise {
72914a67055Ssfencevma        scheduled(schedIndex) := false.B
730e4f69d78Ssfencevma      }
731e4f69d78Ssfencevma    }
732e4f69d78Ssfencevma  }
733e4f69d78Ssfencevma
73426af847eSgood-circle  // vector load, all replay entries of same robidx and uopidx
73526af847eSgood-circle  // should be released when vlmergebuffer commit or flush
736627be78bSgood-circle  val vecLdCanceltmp = Wire(Vec(LoadQueueReplaySize, Vec(VecLoadPipelineWidth, Bool())))
73726af847eSgood-circle  val vecLdCancel = Wire(Vec(LoadQueueReplaySize, Bool()))
738627be78bSgood-circle  val vecLdCommittmp = Wire(Vec(LoadQueueReplaySize, Vec(VecLoadPipelineWidth, Bool())))
73926af847eSgood-circle  val vecLdCommit = Wire(Vec(LoadQueueReplaySize, Bool()))
74026af847eSgood-circle  for (i <- 0 until LoadQueueReplaySize) {
741627be78bSgood-circle    val fbk = io.vecFeedback
742627be78bSgood-circle    for (j <- 0 until VecLoadPipelineWidth) {
743ff9b84b9Slwd      vecLdCanceltmp(i)(j) := allocated(i) && fbk(j).valid && fbk(j).bits.isFlush && uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx
744ff9b84b9Slwd      vecLdCommittmp(i)(j) := allocated(i) && fbk(j).valid && fbk(j).bits.isCommit && uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx
745627be78bSgood-circle    }
746627be78bSgood-circle    vecLdCancel(i) := vecLdCanceltmp(i).reduce(_ || _)
747627be78bSgood-circle    vecLdCommit(i) := vecLdCommittmp(i).reduce(_ || _)
748cf343633SHaoyuan Feng    XSError(((vecLdCancel(i) || vecLdCommit(i)) && allocated(i)), s"vector load, should not have replay entry $i when commit or flush.\n")
74926af847eSgood-circle  }
75026af847eSgood-circle
751e4f69d78Ssfencevma  // misprediction recovery / exception redirect
752e4f69d78Ssfencevma  for (i <- 0 until LoadQueueReplaySize) {
753e4f69d78Ssfencevma    needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i)
754e4f69d78Ssfencevma    when (needCancel(i)) {
755e4f69d78Ssfencevma      allocated(i) := false.B
756e4f69d78Ssfencevma      freeMaskVec(i) := true.B
757e4f69d78Ssfencevma    }
758e4f69d78Ssfencevma  }
759e4f69d78Ssfencevma
760e4f69d78Ssfencevma  freeList.io.free := freeMaskVec.asUInt
761e4f69d78Ssfencevma
762e4f69d78Ssfencevma  io.lqFull := lqFull
763e4f69d78Ssfencevma
764d2b20d1aSTang Haojin  // Topdown
76560ebee38STang Haojin  val robHeadVaddr = io.debugTopDown.robHeadVaddr
766d2b20d1aSTang Haojin
767d2b20d1aSTang Haojin  val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp))
768d2b20d1aSTang Haojin  (uop_wrapper.zipWithIndex).foreach {
769d2b20d1aSTang Haojin    case (u, i) => {
770d2b20d1aSTang Haojin      u.uop := uop(i)
771d2b20d1aSTang Haojin    }
772d2b20d1aSTang Haojin  }
77360ebee38STang Haojin  val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === robHeadVaddr.bits)}
774d2b20d1aSTang Haojin  val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => {
775d2b20d1aSTang Haojin    val (a_v, a_uop) = (a._1, a._2)
776d2b20d1aSTang Haojin    val (b_v, b_uop) = (b._1, b._2)
777d2b20d1aSTang Haojin
778d2b20d1aSTang Haojin    val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop),
779d2b20d1aSTang Haojin                  Mux(a_v, a_uop,
780d2b20d1aSTang Haojin                      Mux(b_v, b_uop,
781d2b20d1aSTang Haojin                                a_uop)))
782d2b20d1aSTang Haojin    (a_v || b_v, res)
783d2b20d1aSTang Haojin  })
784d2b20d1aSTang Haojin
785d2b20d1aSTang Haojin  val lq_match_bits = rob_head_lq_match._2.uop
78660ebee38STang Haojin  val lq_match      = rob_head_lq_match._1 && robHeadVaddr.valid
787d2b20d1aSTang Haojin  val lq_match_idx  = lq_match_bits.lqIdx.value
788d2b20d1aSTang Haojin
78914a67055Ssfencevma  val rob_head_tlb_miss        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_TM)
79014a67055Ssfencevma  val rob_head_nuke            = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_NK)
79114a67055Ssfencevma  val rob_head_mem_amb         = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_MA)
79214a67055Ssfencevma  val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_BC)
79314a67055Ssfencevma  val rob_head_forward_fail    = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_FF)
79414a67055Ssfencevma  val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DR)
79514a67055Ssfencevma  val rob_head_dcache_miss     = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DM)
79614a67055Ssfencevma  val rob_head_rar_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAR)
79714a67055Ssfencevma  val rob_head_raw_nack        = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAW)
79814a67055Ssfencevma  val rob_head_other_replay    = lq_match && (rob_head_rar_nack || rob_head_raw_nack || rob_head_forward_fail)
799d2b20d1aSTang Haojin
80014a67055Ssfencevma  val rob_head_vio_replay = rob_head_nuke || rob_head_mem_amb
801d2b20d1aSTang Haojin
80260ebee38STang Haojin  val rob_head_miss_in_dtlb = io.debugTopDown.robHeadMissInDTlb
80360ebee38STang Haojin  io.debugTopDown.robHeadTlbReplay := rob_head_tlb_miss && !rob_head_miss_in_dtlb
80460ebee38STang Haojin  io.debugTopDown.robHeadTlbMiss := rob_head_tlb_miss && rob_head_miss_in_dtlb
80560ebee38STang Haojin  io.debugTopDown.robHeadLoadVio := rob_head_vio_replay
80660ebee38STang Haojin  io.debugTopDown.robHeadLoadMSHR := rob_head_mshrfull_replay
80760ebee38STang Haojin  io.debugTopDown.robHeadOtherReplay := rob_head_other_replay
808d2b20d1aSTang Haojin  val perfValidCount = RegNext(PopCount(allocated))
809d2b20d1aSTang Haojin
810e4f69d78Ssfencevma  //  perf cnt
81114a67055Ssfencevma  val enqNumber               = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay))
81214a67055Ssfencevma  val deqNumber               = PopCount(io.replay.map(_.fire))
813e4f69d78Ssfencevma  val deqBlockCount           = PopCount(io.replay.map(r => r.valid && !r.ready))
81414a67055Ssfencevma  val replayTlbMissCount      = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_TM)))
8157c0b4ffaSTang Haojin  val replayMemAmbCount       = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_MA)))
8167c0b4ffaSTang Haojin  val replayNukeCount         = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_NK)))
81714a67055Ssfencevma  val replayRARRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAR)))
81814a67055Ssfencevma  val replayRAWRejectCount    = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAW)))
81914a67055Ssfencevma  val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_BC)))
82014a67055Ssfencevma  val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DR)))
82114a67055Ssfencevma  val replayForwardFailCount  = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_FF)))
82214a67055Ssfencevma  val replayDCacheMissCount   = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DM)))
82314a67055Ssfencevma  XSPerfAccumulate("enq", enqNumber)
82414a67055Ssfencevma  XSPerfAccumulate("deq", deqNumber)
825e4f69d78Ssfencevma  XSPerfAccumulate("deq_block", deqBlockCount)
826e4f69d78Ssfencevma  XSPerfAccumulate("replay_full", io.lqFull)
82714a67055Ssfencevma  XSPerfAccumulate("replay_rar_nack", replayRARRejectCount)
82814a67055Ssfencevma  XSPerfAccumulate("replay_raw_nack", replayRAWRejectCount)
82914a67055Ssfencevma  XSPerfAccumulate("replay_nuke", replayNukeCount)
83014a67055Ssfencevma  XSPerfAccumulate("replay_mem_amb", replayMemAmbCount)
831e4f69d78Ssfencevma  XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount)
832e4f69d78Ssfencevma  XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount)
833e4f69d78Ssfencevma  XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount)
834e4f69d78Ssfencevma  XSPerfAccumulate("replay_forward_fail", replayForwardFailCount)
835e4f69d78Ssfencevma  XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount)
8368a610956Ssfencevma  XSPerfAccumulate("replay_hint_wakeup", s0_hintSelValid)
837d2945707SHuijin Li  XSPerfAccumulate("replay_hint_priority_beat1", io.l2_hint.valid && io.l2_hint.bits.isKeyword)
838e4f69d78Ssfencevma
839e4f69d78Ssfencevma  val perfEvents: Seq[(String, UInt)] = Seq(
84014a67055Ssfencevma    ("enq", enqNumber),
84114a67055Ssfencevma    ("deq", deqNumber),
842e4f69d78Ssfencevma    ("deq_block", deqBlockCount),
843e4f69d78Ssfencevma    ("replay_full", io.lqFull),
84414a67055Ssfencevma    ("replay_rar_nack", replayRARRejectCount),
84514a67055Ssfencevma    ("replay_raw_nack", replayRAWRejectCount),
84614a67055Ssfencevma    ("replay_nuke", replayNukeCount),
84714a67055Ssfencevma    ("replay_mem_amb", replayMemAmbCount),
848e4f69d78Ssfencevma    ("replay_tlb_miss", replayTlbMissCount),
849e4f69d78Ssfencevma    ("replay_bank_conflict", replayBankConflictCount),
850e4f69d78Ssfencevma    ("replay_dcache_replay", replayDCacheReplayCount),
851e4f69d78Ssfencevma    ("replay_forward_fail", replayForwardFailCount),
852e4f69d78Ssfencevma    ("replay_dcache_miss", replayDCacheMissCount),
853e4f69d78Ssfencevma  )
854e4f69d78Ssfencevma  generatePerfEvent()
855e4f69d78Ssfencevma  // end
856e4f69d78Ssfencevma}
857