xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueRAR.scala (revision e10e20c6532b67c5819d6cd8178954524a955dce)
1e4f69d78Ssfencevma/***************************************************************************************
2e4f69d78Ssfencevma* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3e4f69d78Ssfencevma* Copyright (c) 2020-2021 Peng Cheng Laboratory
4e4f69d78Ssfencevma*
5e4f69d78Ssfencevma* XiangShan is licensed under Mulan PSL v2.
6e4f69d78Ssfencevma* You can use this software according to the terms and conditions of the Mulan PSL v2.
7e4f69d78Ssfencevma* You may obtain a copy of Mulan PSL v2 at:
8e4f69d78Ssfencevma*          http://license.coscl.org.cn/MulanPSL2
9e4f69d78Ssfencevma*
10e4f69d78Ssfencevma* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11e4f69d78Ssfencevma* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12e4f69d78Ssfencevma* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13e4f69d78Ssfencevma*
14e4f69d78Ssfencevma* See the Mulan PSL v2 for more details.
15e4f69d78Ssfencevma***************************************************************************************/
16e4f69d78Ssfencevmapackage xiangshan.mem
17e4f69d78Ssfencevma
18e4f69d78Ssfencevmaimport chisel3._
19e4f69d78Ssfencevmaimport chisel3.util._
208891a219SYinan Xuimport org.chipsalliance.cde.config._
21e4f69d78Ssfencevmaimport xiangshan._
22e4f69d78Ssfencevmaimport xiangshan.backend.rob.RobPtr
23e4f69d78Ssfencevmaimport xiangshan.cache._
24e4f69d78Ssfencevmaimport utils._
25e4f69d78Ssfencevmaimport utility._
26dfb4c5dcSXuan Huimport xiangshan.backend.Bundles.DynInst
27e4f69d78Ssfencevma
28e4f69d78Ssfencevmaclass LoadQueueRAR(implicit p: Parameters) extends XSModule
29e4f69d78Ssfencevma  with HasDCacheParameters
30e4f69d78Ssfencevma  with HasCircularQueuePtrHelper
31e4f69d78Ssfencevma  with HasLoadHelper
32e4f69d78Ssfencevma  with HasPerfEvents
33e4f69d78Ssfencevma{
34e4f69d78Ssfencevma  val io = IO(new Bundle() {
3514a67055Ssfencevma    // control
36e4f69d78Ssfencevma    val redirect = Flipped(Valid(new Redirect))
37627be78bSgood-circle    val vecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO)))
3814a67055Ssfencevma
3914a67055Ssfencevma    // violation query
4014a67055Ssfencevma    val query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO))
4114a67055Ssfencevma
4214a67055Ssfencevma    // release cacheline
43e4f69d78Ssfencevma    val release = Flipped(Valid(new Release))
4414a67055Ssfencevma
4514a67055Ssfencevma    // from VirtualLoadQueue
46e4f69d78Ssfencevma    val ldWbPtr = Input(new LqPtr)
4714a67055Ssfencevma
4814a67055Ssfencevma    // global
49e4f69d78Ssfencevma    val lqFull = Output(Bool())
50e4f69d78Ssfencevma  })
51e4f69d78Ssfencevma
52e4f69d78Ssfencevma  println("LoadQueueRAR: size: " + LoadQueueRARSize)
53e4f69d78Ssfencevma  //  LoadQueueRAR field
54*e10e20c6SYanqin Li  //  +-------+-------+-------+----------+
55*e10e20c6SYanqin Li  //  | Valid |  Uop  | PAddr | Released |
56*e10e20c6SYanqin Li  //  +-------+-------+-------+----------+
57e4f69d78Ssfencevma  //
58e4f69d78Ssfencevma  //  Field descriptions:
59e4f69d78Ssfencevma  //  Allocated   : entry is valid.
60e4f69d78Ssfencevma  //  MicroOp     : Micro-op
61e4f69d78Ssfencevma  //  PAddr       : physical address.
62e4f69d78Ssfencevma  //  Released    : DCache released.
63e4f69d78Ssfencevma  val allocated = RegInit(VecInit(List.fill(LoadQueueRARSize)(false.B))) // The control signals need to explicitly indicate the initial value
64dfb4c5dcSXuan Hu  val uop = Reg(Vec(LoadQueueRARSize, new DynInst))
65e4f69d78Ssfencevma  val paddrModule = Module(new LqPAddrModule(
66e4f69d78Ssfencevma    gen = UInt(PAddrBits.W),
67e4f69d78Ssfencevma    numEntries = LoadQueueRARSize,
68e4f69d78Ssfencevma    numRead = LoadPipelineWidth,
69e4f69d78Ssfencevma    numWrite = LoadPipelineWidth,
70e4f69d78Ssfencevma    numWBank = LoadQueueNWriteBanks,
71e4f69d78Ssfencevma    numWDelay = 2,
72e4f69d78Ssfencevma    numCamPort = LoadPipelineWidth
73e4f69d78Ssfencevma  ))
74e4f69d78Ssfencevma  paddrModule.io := DontCare
75e4f69d78Ssfencevma  val released = RegInit(VecInit(List.fill(LoadQueueRARSize)(false.B)))
7630f5dffaSsfencevma  val bypassPAddr = Reg(Vec(LoadPipelineWidth, UInt(PAddrBits.W)))
77e4f69d78Ssfencevma
78e4f69d78Ssfencevma  // freeliset: store valid entries index.
79e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
80e4f69d78Ssfencevma  // | 0 | 1 |      ......  | n-2 | n-1 |
81e4f69d78Ssfencevma  // +---+---+--------------+-----+-----+
82e4f69d78Ssfencevma  val freeList = Module(new FreeList(
83e4f69d78Ssfencevma    size = LoadQueueRARSize,
84e4f69d78Ssfencevma    allocWidth = LoadPipelineWidth,
85e4f69d78Ssfencevma    freeWidth = 4,
86f275998aSsfencevma    enablePreAlloc = true,
87e4f69d78Ssfencevma    moduleName = "LoadQueueRAR freelist"
88e4f69d78Ssfencevma  ))
89e4f69d78Ssfencevma  freeList.io := DontCare
90e4f69d78Ssfencevma
91e4f69d78Ssfencevma  // Real-allocation: load_s2
92e4f69d78Ssfencevma  // PAddr write needs 2 cycles, release signal should delay 1 cycle so that
93e4f69d78Ssfencevma  // load enqueue can catch release.
94e4f69d78Ssfencevma  val release1Cycle = io.release
955003e6f8SHuijin Li  // val release2Cycle = RegNext(io.release)
965003e6f8SHuijin Li  // val release2Cycle_dup_lsu = RegNext(io.release)
975003e6f8SHuijin Li  val release2Cycle = RegEnable(io.release, io.release.valid)
985003e6f8SHuijin Li  release2Cycle.valid := RegNext(io.release.valid)
995003e6f8SHuijin Li  //val release2Cycle_dup_lsu = RegEnable(io.release, io.release.valid)
100e4f69d78Ssfencevma
101e4f69d78Ssfencevma  // LoadQueueRAR enqueue condition:
102e4f69d78Ssfencevma  // There are still not completed load instructions before the current load instruction.
103e4f69d78Ssfencevma  // (e.g. "not completed" means that load instruction get the data or exception).
104e4f69d78Ssfencevma  val canEnqueue = io.query.map(_.req.valid)
105e4f69d78Ssfencevma  val cancelEnqueue = io.query.map(_.req.bits.uop.robIdx.needFlush(io.redirect))
106e4f69d78Ssfencevma  val hasNotWritebackedLoad = io.query.map(_.req.bits.uop.lqIdx).map(lqIdx => isAfter(lqIdx, io.ldWbPtr))
107e4f69d78Ssfencevma  val needEnqueue = canEnqueue.zip(hasNotWritebackedLoad).zip(cancelEnqueue).map { case ((v, r), c) => v && r && !c }
108e4f69d78Ssfencevma
109e4f69d78Ssfencevma  // Allocate logic
110f275998aSsfencevma  val acceptedVec = Wire(Vec(LoadPipelineWidth, Bool()))
11131fae68eSYanqin Li  val enqIndexVec = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueRARSize).W)))
112e4f69d78Ssfencevma
113e4f69d78Ssfencevma  for ((enq, w) <- io.query.map(_.req).zipWithIndex) {
114f275998aSsfencevma    acceptedVec(w) := false.B
115e4f69d78Ssfencevma    paddrModule.io.wen(w) := false.B
116e4f69d78Ssfencevma    freeList.io.doAllocate(w) := false.B
117e4f69d78Ssfencevma
118f275998aSsfencevma    freeList.io.allocateReq(w) := true.B
119e4f69d78Ssfencevma
120e4f69d78Ssfencevma    //  Allocate ready
121f275998aSsfencevma    val offset = PopCount(needEnqueue.take(w))
122f275998aSsfencevma    val canAccept = freeList.io.canAllocate(offset)
123f275998aSsfencevma    val enqIndex = freeList.io.allocateSlot(offset)
124f275998aSsfencevma    enq.ready := Mux(needEnqueue(w), canAccept, true.B)
125e4f69d78Ssfencevma
126f275998aSsfencevma    enqIndexVec(w) := enqIndex
127e4f69d78Ssfencevma    when (needEnqueue(w) && enq.ready) {
128f275998aSsfencevma      acceptedVec(w) := true.B
129f275998aSsfencevma
130e4f69d78Ssfencevma      val debug_robIdx = enq.bits.uop.robIdx.asUInt
131e4f69d78Ssfencevma      XSError(allocated(enqIndex), p"LoadQueueRAR: You can not write an valid entry! check: ldu $w, robIdx $debug_robIdx")
132e4f69d78Ssfencevma
133e4f69d78Ssfencevma      freeList.io.doAllocate(w) := true.B
134e4f69d78Ssfencevma      //  Allocate new entry
135e4f69d78Ssfencevma      allocated(enqIndex) := true.B
136e4f69d78Ssfencevma
137e4f69d78Ssfencevma      //  Write paddr
138e4f69d78Ssfencevma      paddrModule.io.wen(w) := true.B
139e4f69d78Ssfencevma      paddrModule.io.waddr(w) := enqIndex
140e4f69d78Ssfencevma      paddrModule.io.wdata(w) := enq.bits.paddr
14130f5dffaSsfencevma      bypassPAddr(w) := enq.bits.paddr
142e4f69d78Ssfencevma
143e4f69d78Ssfencevma      //  Fill info
144e4f69d78Ssfencevma      uop(enqIndex) := enq.bits.uop
145*e10e20c6SYanqin Li      //  NC is uncachable and will not be explicitly released.
146*e10e20c6SYanqin Li      //  So NC requests are not allowed to have RAR
147*e10e20c6SYanqin Li      released(enqIndex) := enq.bits.is_nc || (
14814a67055Ssfencevma        enq.bits.data_valid &&
1494ab5d137Ssfencevma        (release2Cycle.valid &&
150e4f69d78Ssfencevma        enq.bits.paddr(PAddrBits-1, DCacheLineOffset) === release2Cycle.bits.paddr(PAddrBits-1, DCacheLineOffset) ||
151e4f69d78Ssfencevma        release1Cycle.valid &&
1524ab5d137Ssfencevma        enq.bits.paddr(PAddrBits-1, DCacheLineOffset) === release1Cycle.bits.paddr(PAddrBits-1, DCacheLineOffset))
153*e10e20c6SYanqin Li      )
154e4f69d78Ssfencevma    }
155e4f69d78Ssfencevma  }
156e4f69d78Ssfencevma
157e4f69d78Ssfencevma  //  LoadQueueRAR deallocate
158e4f69d78Ssfencevma  val freeMaskVec = Wire(Vec(LoadQueueRARSize, Bool()))
159e4f69d78Ssfencevma
160e4f69d78Ssfencevma  // init
161e4f69d78Ssfencevma  freeMaskVec.map(e => e := false.B)
162e4f69d78Ssfencevma
163e4f69d78Ssfencevma  // when the loads that "older than" current load were writebacked,
164e4f69d78Ssfencevma  // current load will be released.
165627be78bSgood-circle  val vecLdCanceltmp = Wire(Vec(LoadQueueRARSize, Vec(VecLoadPipelineWidth, Bool())))
166627be78bSgood-circle  val vecLdCancel = Wire(Vec(LoadQueueRARSize, Bool()))
167e4f69d78Ssfencevma  for (i <- 0 until LoadQueueRARSize) {
168e4f69d78Ssfencevma    val deqNotBlock = !isBefore(io.ldWbPtr, uop(i).lqIdx)
169e4f69d78Ssfencevma    val needFlush = uop(i).robIdx.needFlush(io.redirect)
170627be78bSgood-circle    val fbk = io.vecFeedback
171627be78bSgood-circle    for (j <- 0 until VecLoadPipelineWidth) {
172ff9b84b9Slwd      vecLdCanceltmp(i)(j) := allocated(i) && fbk(j).valid && fbk(j).bits.isFlush && uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx
173627be78bSgood-circle    }
174627be78bSgood-circle    vecLdCancel(i) := vecLdCanceltmp(i).reduce(_ || _)
175e4f69d78Ssfencevma
176627be78bSgood-circle    when (allocated(i) && (deqNotBlock || needFlush || vecLdCancel(i))) {
177e4f69d78Ssfencevma      allocated(i) := false.B
178e4f69d78Ssfencevma      freeMaskVec(i) := true.B
179e4f69d78Ssfencevma    }
180e4f69d78Ssfencevma  }
181e4f69d78Ssfencevma
18214a67055Ssfencevma  // if need replay revoke entry
1835003e6f8SHuijin Li  val lastCanAccept = GatedRegNext(acceptedVec)
1845003e6f8SHuijin Li  val lastAllocIndex = GatedRegNext(enqIndexVec)
185e4f69d78Ssfencevma
18614a67055Ssfencevma  for ((revoke, w) <- io.query.map(_.revoke).zipWithIndex) {
18714a67055Ssfencevma    val revokeValid = revoke && lastCanAccept(w)
18814a67055Ssfencevma    val revokeIndex = lastAllocIndex(w)
189e4f69d78Ssfencevma
19014a67055Ssfencevma    when (allocated(revokeIndex) && revokeValid) {
19114a67055Ssfencevma      allocated(revokeIndex) := false.B
19214a67055Ssfencevma      freeMaskVec(revokeIndex) := true.B
193e4f69d78Ssfencevma    }
194e4f69d78Ssfencevma  }
195e4f69d78Ssfencevma
196e4f69d78Ssfencevma  freeList.io.free := freeMaskVec.asUInt
197e4f69d78Ssfencevma
198e4f69d78Ssfencevma  // LoadQueueRAR Query
199e4f69d78Ssfencevma  // Load-to-Load violation check condition:
200e4f69d78Ssfencevma  // 1. Physical address match by CAM port.
201c7353d05SYanqin Li  // 2. release or nc_with_data is set.
202e4f69d78Ssfencevma  // 3. Younger than current load instruction.
203e4f69d78Ssfencevma  val ldLdViolation = Wire(Vec(LoadPipelineWidth, Bool()))
2045003e6f8SHuijin Li  //val allocatedUInt = RegNext(allocated.asUInt)
205e4f69d78Ssfencevma  for ((query, w) <- io.query.zipWithIndex) {
206e4f69d78Ssfencevma    ldLdViolation(w) := false.B
207e4f69d78Ssfencevma    paddrModule.io.releaseViolationMdata(w) := query.req.bits.paddr
208e4f69d78Ssfencevma
209e4f69d78Ssfencevma    query.resp.valid := RegNext(query.req.valid)
210e4f69d78Ssfencevma    // Generate real violation mask
211e4f69d78Ssfencevma    val robIdxMask = VecInit(uop.map(_.robIdx).map(isAfter(_, query.req.bits.uop.robIdx)))
2125003e6f8SHuijin Li    val matchMaskReg = Wire(Vec(LoadQueueRARSize, Bool()))
2135003e6f8SHuijin Li    for(i <- 0 until LoadQueueRARSize) {
2145003e6f8SHuijin Li      matchMaskReg(i) := (allocated(i) &
215cd2ff98bShappy-lx                         paddrModule.io.releaseViolationMmask(w)(i) &
216cd2ff98bShappy-lx                         robIdxMask(i) &&
217*e10e20c6SYanqin Li                         released(i))
2185003e6f8SHuijin Li      }
2195003e6f8SHuijin Li    val matchMask = GatedValidRegNext(matchMaskReg)
220e4f69d78Ssfencevma    //  Load-to-Load violation check result
2215003e6f8SHuijin Li    val ldLdViolationMask = matchMask
222e4f69d78Ssfencevma    ldLdViolationMask.suggestName("ldLdViolationMask_" + w)
223cd2ff98bShappy-lx    query.resp.bits.rep_frm_fetch := ParallelORR(ldLdViolationMask)
224e4f69d78Ssfencevma  }
225e4f69d78Ssfencevma
226e4f69d78Ssfencevma
227e4f69d78Ssfencevma  // When io.release.valid (release1cycle.valid), it uses the last ld-ld paddr cam port to
228e4f69d78Ssfencevma  // update release flag in 1 cycle
229e4f69d78Ssfencevma  val releaseVioMask = Reg(Vec(LoadQueueRARSize, Bool()))
230e4f69d78Ssfencevma  when (release1Cycle.valid) {
231e4f69d78Ssfencevma    paddrModule.io.releaseMdata.takeRight(1)(0) := release1Cycle.bits.paddr
232e4f69d78Ssfencevma  }
233e4f69d78Ssfencevma
23430f5dffaSsfencevma  val lastAllocIndexOH = lastAllocIndex.map(UIntToOH(_))
23530f5dffaSsfencevma  val lastReleasePAddrMatch = VecInit((0 until LoadPipelineWidth).map(i => {
23630f5dffaSsfencevma    (bypassPAddr(i)(PAddrBits-1, DCacheLineOffset) === release1Cycle.bits.paddr(PAddrBits-1, DCacheLineOffset))
23730f5dffaSsfencevma  }))
238e4f69d78Ssfencevma  (0 until LoadQueueRARSize).map(i => {
23930f5dffaSsfencevma    val bypassMatch = VecInit((0 until LoadPipelineWidth).map(j => lastCanAccept(j) && lastAllocIndexOH(j)(i) && lastReleasePAddrMatch(j))).asUInt.orR
24030f5dffaSsfencevma    when (RegNext((paddrModule.io.releaseMmask.takeRight(1)(0)(i) || bypassMatch) && allocated(i) && release1Cycle.valid)) {
241e4f69d78Ssfencevma      // Note: if a load has missed in dcache and is waiting for refill in load queue,
242e4f69d78Ssfencevma      // its released flag still needs to be set as true if addr matches.
243e4f69d78Ssfencevma      released(i) := true.B
244e4f69d78Ssfencevma    }
245e4f69d78Ssfencevma  })
246e4f69d78Ssfencevma
247e4f69d78Ssfencevma  io.lqFull := freeList.io.empty
248e4f69d78Ssfencevma
249e4f69d78Ssfencevma  // perf cnt
250e4f69d78Ssfencevma  val canEnqCount = PopCount(io.query.map(_.req.fire))
251e4f69d78Ssfencevma  val validCount = freeList.io.validCount
252e4f69d78Ssfencevma  val allowEnqueue = validCount <= (LoadQueueRARSize - LoadPipelineWidth).U
25314a67055Ssfencevma  val ldLdViolationCount = PopCount(io.query.map(_.resp).map(resp => resp.valid && resp.bits.rep_frm_fetch))
254e4f69d78Ssfencevma
255e4f69d78Ssfencevma  QueuePerf(LoadQueueRARSize, validCount, !allowEnqueue)
256e4f69d78Ssfencevma  XSPerfAccumulate("enq", canEnqCount)
257e4f69d78Ssfencevma  XSPerfAccumulate("ld_ld_violation", ldLdViolationCount)
258e4f69d78Ssfencevma  val perfEvents: Seq[(String, UInt)] = Seq(
259e4f69d78Ssfencevma    ("enq", canEnqCount),
260e4f69d78Ssfencevma    ("ld_ld_violation", ldLdViolationCount)
261e4f69d78Ssfencevma  )
262e4f69d78Ssfencevma  generatePerfEvent()
263e4f69d78Ssfencevma  // End
264e4f69d78Ssfencevma}