1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.tile.HasFPUParameters 23import utils._ 24import xiangshan._ 25import xiangshan.cache._ 26import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants} 27import xiangshan.cache.mmu.TlbRequestIO 28import xiangshan.mem._ 29import xiangshan.backend.roq.RoqLsqIO 30import xiangshan.backend.fu.HasExceptionNO 31import xiangshan.backend.ftq.FtqPtr 32 33 34class LqPtr(implicit p: Parameters) extends CircularQueuePtr[LqPtr]( 35 p => p(XSCoreParamsKey).LoadQueueSize 36){ 37 override def cloneType = (new LqPtr).asInstanceOf[this.type] 38} 39 40object LqPtr { 41 def apply(f: Bool, v: UInt)(implicit p: Parameters): LqPtr = { 42 val ptr = Wire(new LqPtr) 43 ptr.flag := f 44 ptr.value := v 45 ptr 46 } 47} 48 49trait HasFpLoadHelper { this: HasFPUParameters => 50 def fpRdataHelper(uop: MicroOp, rdata: UInt): UInt = { 51 LookupTree(uop.ctrl.fuOpType, List( 52 LSUOpType.lw -> recode(rdata(31, 0), S), 53 LSUOpType.ld -> recode(rdata(63, 0), D) 54 )) 55 } 56} 57trait HasLoadHelper { this: XSModule => 58 def rdataHelper(uop: MicroOp, rdata: UInt): UInt = { 59 val fpWen = uop.ctrl.fpWen 60 LookupTree(uop.ctrl.fuOpType, List( 61 LSUOpType.lb -> SignExt(rdata(7, 0) , XLEN), 62 LSUOpType.lh -> SignExt(rdata(15, 0), XLEN), 63 LSUOpType.lw -> Mux(fpWen, Cat(Fill(32, 1.U(1.W)), rdata(31, 0)), SignExt(rdata(31, 0), XLEN)), 64 LSUOpType.ld -> Mux(fpWen, rdata, SignExt(rdata(63, 0), XLEN)), 65 LSUOpType.lbu -> ZeroExt(rdata(7, 0) , XLEN), 66 LSUOpType.lhu -> ZeroExt(rdata(15, 0), XLEN), 67 LSUOpType.lwu -> ZeroExt(rdata(31, 0), XLEN), 68 )) 69 } 70} 71 72class LqEnqIO(implicit p: Parameters) extends XSBundle { 73 val canAccept = Output(Bool()) 74 val sqCanAccept = Input(Bool()) 75 val needAlloc = Vec(RenameWidth, Input(Bool())) 76 val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 77 val resp = Vec(RenameWidth, Output(new LqPtr)) 78} 79 80// Load Queue 81class LoadQueue(implicit p: Parameters) extends XSModule 82 with HasDCacheParameters 83 with HasCircularQueuePtrHelper 84 with HasLoadHelper 85 with HasExceptionNO 86{ 87 val io = IO(new Bundle() { 88 val enq = new LqEnqIO 89 val brqRedirect = Flipped(ValidIO(new Redirect)) 90 val flush = Input(Bool()) 91 val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 92 val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 93 val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool())) 94 val needReplayFromRS = Vec(LoadPipelineWidth, Input(Bool())) 95 val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load 96 val load_s1 = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 97 val roq = Flipped(new RoqLsqIO) 98 val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store 99 val dcache = Flipped(ValidIO(new Refill)) 100 val uncache = new DCacheWordIO 101 val exceptionAddr = new ExceptionAddrIO 102 val lqFull = Output(Bool()) 103 }) 104 105 println("LoadQueue: size:" + LoadQueueSize) 106 107 val uop = Reg(Vec(LoadQueueSize, new MicroOp)) 108 // val data = Reg(Vec(LoadQueueSize, new LsRoqEntry)) 109 val dataModule = Module(new LoadQueueData(LoadQueueSize, wbNumRead = LoadPipelineWidth, wbNumWrite = LoadPipelineWidth)) 110 dataModule.io := DontCare 111 val vaddrModule = Module(new SyncDataModuleTemplate(UInt(VAddrBits.W), LoadQueueSize, numRead = 1, numWrite = LoadPipelineWidth)) 112 vaddrModule.io := DontCare 113 val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated 114 val datavalid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid 115 val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB 116 val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request 117 // val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result 118 val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq 119 120 val debug_mmio = Reg(Vec(LoadQueueSize, Bool())) // mmio: inst is an mmio inst 121 val debug_paddr = Reg(Vec(LoadQueueSize, UInt(PAddrBits.W))) // mmio: inst is an mmio inst 122 123 val enqPtrExt = RegInit(VecInit((0 until RenameWidth).map(_.U.asTypeOf(new LqPtr)))) 124 val deqPtrExt = RegInit(0.U.asTypeOf(new LqPtr)) 125 val deqPtrExtNext = Wire(new LqPtr) 126 val allowEnqueue = RegInit(true.B) 127 128 val enqPtr = enqPtrExt(0).value 129 val deqPtr = deqPtrExt.value 130 131 val deqMask = UIntToMask(deqPtr, LoadQueueSize) 132 val enqMask = UIntToMask(enqPtr, LoadQueueSize) 133 134 val commitCount = RegNext(io.roq.lcommit) 135 136 /** 137 * Enqueue at dispatch 138 * 139 * Currently, LoadQueue only allows enqueue when #emptyEntries > RenameWidth(EnqWidth) 140 */ 141 io.enq.canAccept := allowEnqueue 142 143 for (i <- 0 until RenameWidth) { 144 val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i)) 145 val lqIdx = enqPtrExt(offset) 146 val index = lqIdx.value 147 when (io.enq.req(i).valid && io.enq.canAccept && io.enq.sqCanAccept && !(io.brqRedirect.valid || io.flush)) { 148 uop(index) := io.enq.req(i).bits 149 allocated(index) := true.B 150 datavalid(index) := false.B 151 writebacked(index) := false.B 152 miss(index) := false.B 153 // listening(index) := false.B 154 pending(index) := false.B 155 } 156 io.enq.resp(i) := lqIdx 157 } 158 XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n") 159 160 /** 161 * Writeback load from load units 162 * 163 * Most load instructions writeback to regfile at the same time. 164 * However, 165 * (1) For an mmio instruction with exceptions, it writes back to ROB immediately. 166 * (2) For an mmio instruction without exceptions, it does not write back. 167 * The mmio instruction will be sent to lower level when it reaches ROB's head. 168 * After uncache response, it will write back through arbiter with loadUnit. 169 * (3) For cache misses, it is marked miss and sent to dcache later. 170 * After cache refills, it will write back through arbiter with loadUnit. 171 */ 172 for (i <- 0 until LoadPipelineWidth) { 173 dataModule.io.wb.wen(i) := false.B 174 val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value 175 when(io.loadIn(i).fire()) { 176 when(io.loadIn(i).bits.miss) { 177 XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 178 io.loadIn(i).bits.uop.lqIdx.asUInt, 179 io.loadIn(i).bits.uop.cf.pc, 180 io.loadIn(i).bits.vaddr, 181 io.loadIn(i).bits.paddr, 182 io.loadIn(i).bits.data, 183 io.loadIn(i).bits.mask, 184 io.loadIn(i).bits.forwardData.asUInt, 185 io.loadIn(i).bits.forwardMask.asUInt, 186 io.loadIn(i).bits.mmio 187 ) 188 }.otherwise { 189 XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 190 io.loadIn(i).bits.uop.lqIdx.asUInt, 191 io.loadIn(i).bits.uop.cf.pc, 192 io.loadIn(i).bits.vaddr, 193 io.loadIn(i).bits.paddr, 194 io.loadIn(i).bits.data, 195 io.loadIn(i).bits.mask, 196 io.loadIn(i).bits.forwardData.asUInt, 197 io.loadIn(i).bits.forwardMask.asUInt, 198 io.loadIn(i).bits.mmio 199 )} 200 datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.loadDataForwarded(i)) && 201 !io.loadIn(i).bits.mmio && // mmio data is not valid until we finished uncache access 202 !io.needReplayFromRS(i) // do not writeback if that inst will be resend from rs 203 writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 204 205 val loadWbData = Wire(new LQDataEntry) 206 loadWbData.paddr := io.loadIn(i).bits.paddr 207 loadWbData.mask := io.loadIn(i).bits.mask 208 loadWbData.data := io.loadIn(i).bits.forwardData.asUInt // fwd data 209 loadWbData.fwdMask := io.loadIn(i).bits.forwardMask 210 dataModule.io.wbWrite(i, loadWbIndex, loadWbData) 211 dataModule.io.wb.wen(i) := true.B 212 213 214 debug_mmio(loadWbIndex) := io.loadIn(i).bits.mmio 215 debug_paddr(loadWbIndex) := io.loadIn(i).bits.paddr 216 217 val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 218 miss(loadWbIndex) := dcacheMissed && !io.loadDataForwarded(i) && !io.needReplayFromRS(i) 219 pending(loadWbIndex) := io.loadIn(i).bits.mmio 220 uop(loadWbIndex).debugInfo.issueTime := io.loadIn(i).bits.uop.debugInfo.issueTime 221 } 222 // vaddrModule write is delayed, as vaddrModule will not be read right after write 223 vaddrModule.io.waddr(i) := RegNext(loadWbIndex) 224 vaddrModule.io.wdata(i) := RegNext(io.loadIn(i).bits.vaddr) 225 vaddrModule.io.wen(i) := RegNext(io.loadIn(i).fire()) 226 } 227 228 when(io.dcache.valid) { 229 XSDebug("miss resp: paddr:0x%x data %x\n", io.dcache.bits.addr, io.dcache.bits.data) 230 } 231 232 // Refill 64 bit in a cycle 233 // Refill data comes back from io.dcache.resp 234 dataModule.io.refill.valid := io.dcache.valid 235 dataModule.io.refill.paddr := io.dcache.bits.addr 236 dataModule.io.refill.data := io.dcache.bits.data 237 238 (0 until LoadQueueSize).map(i => { 239 dataModule.io.refill.refillMask(i) := allocated(i) && miss(i) 240 when(dataModule.io.refill.valid && dataModule.io.refill.refillMask(i) && dataModule.io.refill.matchMask(i)) { 241 datavalid(i) := true.B 242 miss(i) := false.B 243 } 244 }) 245 246 // Writeback up to 2 missed load insts to CDB 247 // 248 // Pick 2 missed load (data refilled), write them back to cdb 249 // 2 refilled load will be selected from even/odd entry, separately 250 251 // Stage 0 252 // Generate writeback indexes 253 254 def getEvenBits(input: UInt): UInt = { 255 require(input.getWidth == LoadQueueSize) 256 VecInit((0 until LoadQueueSize/2).map(i => {input(2*i)})).asUInt 257 } 258 def getOddBits(input: UInt): UInt = { 259 require(input.getWidth == LoadQueueSize) 260 VecInit((0 until LoadQueueSize/2).map(i => {input(2*i+1)})).asUInt 261 } 262 263 val loadWbSel = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) // index selected last cycle 264 val loadWbSelV = Wire(Vec(LoadPipelineWidth, Bool())) // index selected in last cycle is valid 265 266 val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => { 267 allocated(i) && !writebacked(i) && datavalid(i) 268 })).asUInt() // use uint instead vec to reduce verilog lines 269 val evenDeqMask = getEvenBits(deqMask) 270 val oddDeqMask = getOddBits(deqMask) 271 // generate lastCycleSelect mask 272 val evenSelectMask = Mux(io.ldout(0).fire(), getEvenBits(UIntToOH(loadWbSel(0))), 0.U) 273 val oddSelectMask = Mux(io.ldout(1).fire(), getOddBits(UIntToOH(loadWbSel(1))), 0.U) 274 // generate real select vec 275 val loadEvenSelVec = getEvenBits(loadWbSelVec) & ~evenSelectMask 276 val loadOddSelVec = getOddBits(loadWbSelVec) & ~oddSelectMask 277 278 def toVec(a: UInt): Vec[Bool] = { 279 VecInit(a.asBools) 280 } 281 282 val loadWbSelGen = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) 283 val loadWbSelVGen = Wire(Vec(LoadPipelineWidth, Bool())) 284 loadWbSelGen(0) := Cat(getFirstOne(toVec(loadEvenSelVec), evenDeqMask), 0.U(1.W)) 285 loadWbSelVGen(0):= loadEvenSelVec.asUInt.orR 286 loadWbSelGen(1) := Cat(getFirstOne(toVec(loadOddSelVec), oddDeqMask), 1.U(1.W)) 287 loadWbSelVGen(1) := loadOddSelVec.asUInt.orR 288 289 (0 until LoadPipelineWidth).map(i => { 290 loadWbSel(i) := RegNext(loadWbSelGen(i)) 291 loadWbSelV(i) := RegNext(loadWbSelVGen(i), init = false.B) 292 when(io.ldout(i).fire()){ 293 // Mark them as writebacked, so they will not be selected in the next cycle 294 writebacked(loadWbSel(i)) := true.B 295 } 296 }) 297 298 // Stage 1 299 // Use indexes generated in cycle 0 to read data 300 // writeback data to cdb 301 (0 until LoadPipelineWidth).map(i => { 302 // data select 303 dataModule.io.wb.raddr(i) := loadWbSelGen(i) 304 val rdata = dataModule.io.wb.rdata(i).data 305 val seluop = uop(loadWbSel(i)) 306 val func = seluop.ctrl.fuOpType 307 val raddr = dataModule.io.wb.rdata(i).paddr 308 val rdataSel = LookupTree(raddr(2, 0), List( 309 "b000".U -> rdata(63, 0), 310 "b001".U -> rdata(63, 8), 311 "b010".U -> rdata(63, 16), 312 "b011".U -> rdata(63, 24), 313 "b100".U -> rdata(63, 32), 314 "b101".U -> rdata(63, 40), 315 "b110".U -> rdata(63, 48), 316 "b111".U -> rdata(63, 56) 317 )) 318 val rdataPartialLoad = rdataHelper(seluop, rdataSel) 319 320 // writeback missed int/fp load 321 // 322 // Int load writeback will finish (if not blocked) in one cycle 323 io.ldout(i).bits.uop := seluop 324 io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr) 325 io.ldout(i).bits.data := rdataPartialLoad 326 io.ldout(i).bits.redirectValid := false.B 327 io.ldout(i).bits.redirect := DontCare 328 io.ldout(i).bits.debug.isMMIO := debug_mmio(loadWbSel(i)) 329 io.ldout(i).bits.debug.isPerfCnt := false.B 330 io.ldout(i).bits.debug.paddr := debug_paddr(loadWbSel(i)) 331 io.ldout(i).bits.fflags := DontCare 332 io.ldout(i).valid := loadWbSelV(i) 333 334 when(io.ldout(i).fire()) { 335 XSInfo("int load miss write to cbd roqidx %d lqidx %d pc 0x%x mmio %x\n", 336 io.ldout(i).bits.uop.roqIdx.asUInt, 337 io.ldout(i).bits.uop.lqIdx.asUInt, 338 io.ldout(i).bits.uop.cf.pc, 339 debug_mmio(loadWbSel(i)) 340 ) 341 } 342 343 }) 344 345 /** 346 * Load commits 347 * 348 * When load commited, mark it as !allocated and move deqPtrExt forward. 349 */ 350 (0 until CommitWidth).map(i => { 351 when(commitCount > i.U){ 352 allocated(deqPtr+i.U) := false.B 353 } 354 }) 355 356 def getFirstOne(mask: Vec[Bool], startMask: UInt) = { 357 val length = mask.length 358 val highBits = (0 until length).map(i => mask(i) & ~startMask(i)) 359 val highBitsUint = Cat(highBits.reverse) 360 PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt)) 361 } 362 363 def getOldestInTwo(valid: Seq[Bool], uop: Seq[MicroOp]) = { 364 assert(valid.length == uop.length) 365 assert(valid.length == 2) 366 Mux(valid(0) && valid(1), 367 Mux(isAfter(uop(0).roqIdx, uop(1).roqIdx), uop(1), uop(0)), 368 Mux(valid(0) && !valid(1), uop(0), uop(1))) 369 } 370 371 def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = { 372 assert(valid.length == uop.length) 373 val length = valid.length 374 (0 until length).map(i => { 375 (0 until length).map(j => { 376 Mux(valid(i) && valid(j), 377 isAfter(uop(i).roqIdx, uop(j).roqIdx), 378 Mux(!valid(i), true.B, false.B)) 379 }) 380 }) 381 } 382 383 /** 384 * Memory violation detection 385 * 386 * When store writes back, it searches LoadQueue for younger load instructions 387 * with the same load physical address. They loaded wrong data and need re-execution. 388 * 389 * Cycle 0: Store Writeback 390 * Generate match vector for store address with rangeMask(stPtr, enqPtr). 391 * Besides, load instructions in LoadUnit_S1 and S2 are also checked. 392 * Cycle 1: Redirect Generation 393 * There're three possible types of violations, up to 6 possible redirect requests. 394 * Choose the oldest load (part 1). (4 + 2) -> (1 + 2) 395 * Cycle 2: Redirect Fire 396 * Choose the oldest load (part 2). (3 -> 1) 397 * Prepare redirect request according to the detected violation. 398 * Fire redirect request (if valid) 399 */ 400 401 // stage 0: lq l1 wb l1 wb lq 402 // | | | | | | (paddr match) 403 // stage 1: lq l1 wb l1 wb lq 404 // | | | | | | 405 // | |------------| | 406 // | | | 407 // stage 2: lq l1wb lq 408 // | | | 409 // -------------------- 410 // | 411 // rollback req 412 io.load_s1 := DontCare 413 def detectRollback(i: Int) = { 414 val startIndex = io.storeIn(i).bits.uop.lqIdx.value 415 val lqIdxMask = UIntToMask(startIndex, LoadQueueSize) 416 val xorMask = lqIdxMask ^ enqMask 417 val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === enqPtrExt(0).flag 418 val toEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask) 419 420 // check if load already in lq needs to be rolledback 421 dataModule.io.violation(i).paddr := io.storeIn(i).bits.paddr 422 dataModule.io.violation(i).mask := io.storeIn(i).bits.mask 423 val addrMaskMatch = RegNext(dataModule.io.violation(i).violationMask) 424 val entryNeedCheck = RegNext(VecInit((0 until LoadQueueSize).map(j => { 425 allocated(j) && toEnqPtrMask(j) && (datavalid(j) || miss(j)) 426 }))) 427 val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => { 428 addrMaskMatch(j) && entryNeedCheck(j) 429 })) 430 val lqViolation = lqViolationVec.asUInt().orR() 431 val lqViolationIndex = getFirstOne(lqViolationVec, RegNext(lqIdxMask)) 432 val lqViolationUop = uop(lqViolationIndex) 433 // lqViolationUop.lqIdx.flag := deqMask(lqViolationIndex) ^ deqPtrExt.flag 434 // lqViolationUop.lqIdx.value := lqViolationIndex 435 XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n") 436 437 // when l/s writeback to roq together, check if rollback is needed 438 val wbViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 439 io.loadIn(j).valid && 440 isAfter(io.loadIn(j).bits.uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) && 441 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) && 442 (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR 443 }))) 444 val wbViolation = wbViolationVec.asUInt().orR() 445 val wbViolationUop = getOldestInTwo(wbViolationVec, RegNext(VecInit(io.loadIn.map(_.bits.uop)))) 446 XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n") 447 448 // check if rollback is needed for load in l1 449 val l1ViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 450 io.load_s1(j).valid && // L1 valid 451 isAfter(io.load_s1(j).uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) && 452 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.load_s1(j).paddr(PAddrBits - 1, 3) && 453 (io.storeIn(i).bits.mask & io.load_s1(j).mask).orR 454 }))) 455 val l1Violation = l1ViolationVec.asUInt().orR() 456 val l1ViolationUop = getOldestInTwo(l1ViolationVec, RegNext(VecInit(io.load_s1.map(_.uop)))) 457 XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n") 458 459 XSDebug( 460 l1Violation, 461 "need rollback (l1 load) pc %x roqidx %d target %x\n", 462 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, l1ViolationUop.roqIdx.asUInt 463 ) 464 XSDebug( 465 lqViolation, 466 "need rollback (ld wb before store) pc %x roqidx %d target %x\n", 467 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, lqViolationUop.roqIdx.asUInt 468 ) 469 XSDebug( 470 wbViolation, 471 "need rollback (ld/st wb together) pc %x roqidx %d target %x\n", 472 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, wbViolationUop.roqIdx.asUInt 473 ) 474 475 ((lqViolation, lqViolationUop), (wbViolation, wbViolationUop), (l1Violation, l1ViolationUop)) 476 } 477 478 def rollbackSel(a: Valid[MicroOpRbExt], b: Valid[MicroOpRbExt]): ValidIO[MicroOpRbExt] = { 479 Mux( 480 a.valid, 481 Mux( 482 b.valid, 483 Mux(isAfter(a.bits.uop.roqIdx, b.bits.uop.roqIdx), b, a), // a,b both valid, sel oldest 484 a // sel a 485 ), 486 b // sel b 487 ) 488 } 489 val lastCycleRedirect = RegNext(io.brqRedirect) 490 val lastlastCycleRedirect = RegNext(lastCycleRedirect) 491 val lastCycleFlush = RegNext(io.flush) 492 val lastlastCycleFlush = RegNext(lastCycleFlush) 493 494 // S2: select rollback (part1) and generate rollback request 495 // rollback check 496 // Wb/L1 rollback seq check is done in s2 497 val rollbackWb = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 498 val rollbackL1 = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 499 val rollbackL1Wb = Wire(Vec(StorePipelineWidth*2, Valid(new MicroOpRbExt))) 500 // Lq rollback seq check is done in s3 (next stage), as getting rollbackLq MicroOp is slow 501 val rollbackLq = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 502 // store ftq index for store set update 503 val stFtqIdxS2 = Wire(Vec(StorePipelineWidth, new FtqPtr)) 504 val stFtqOffsetS2 = Wire(Vec(StorePipelineWidth, UInt(log2Up(PredictWidth).W))) 505 for (i <- 0 until StorePipelineWidth) { 506 val detectedRollback = detectRollback(i) 507 rollbackLq(i).valid := detectedRollback._1._1 && RegNext(io.storeIn(i).valid) 508 rollbackLq(i).bits.uop := detectedRollback._1._2 509 rollbackLq(i).bits.flag := i.U 510 rollbackWb(i).valid := detectedRollback._2._1 && RegNext(io.storeIn(i).valid) 511 rollbackWb(i).bits.uop := detectedRollback._2._2 512 rollbackWb(i).bits.flag := i.U 513 rollbackL1(i).valid := detectedRollback._3._1 && RegNext(io.storeIn(i).valid) 514 rollbackL1(i).bits.uop := detectedRollback._3._2 515 rollbackL1(i).bits.flag := i.U 516 rollbackL1Wb(2*i) := rollbackL1(i) 517 rollbackL1Wb(2*i+1) := rollbackWb(i) 518 stFtqIdxS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqPtr) 519 stFtqOffsetS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqOffset) 520 } 521 522 val rollbackL1WbSelected = ParallelOperation(rollbackL1Wb, rollbackSel) 523 val rollbackL1WbVReg = RegNext(rollbackL1WbSelected.valid) 524 val rollbackL1WbReg = RegEnable(rollbackL1WbSelected.bits, rollbackL1WbSelected.valid) 525 val rollbackLq0VReg = RegNext(rollbackLq(0).valid) 526 val rollbackLq0Reg = RegEnable(rollbackLq(0).bits, rollbackLq(0).valid) 527 val rollbackLq1VReg = RegNext(rollbackLq(1).valid) 528 val rollbackLq1Reg = RegEnable(rollbackLq(1).bits, rollbackLq(1).valid) 529 530 // S3: select rollback (part2), generate rollback request, then fire rollback request 531 // Note that we use roqIdx - 1.U to flush the load instruction itself. 532 // Thus, here if last cycle's roqIdx equals to this cycle's roqIdx, it still triggers the redirect. 533 534 // FIXME: this is ugly 535 val rollbackValidVec = Seq(rollbackL1WbVReg, rollbackLq0VReg, rollbackLq1VReg) 536 val rollbackUopExtVec = Seq(rollbackL1WbReg, rollbackLq0Reg, rollbackLq1Reg) 537 538 // select uop in parallel 539 val mask = getAfterMask(rollbackValidVec, rollbackUopExtVec.map(i => i.uop)) 540 val oneAfterZero = mask(1)(0) 541 val rollbackUopExt = Mux(oneAfterZero && mask(2)(0), 542 rollbackUopExtVec(0), 543 Mux(!oneAfterZero && mask(2)(1), rollbackUopExtVec(1), rollbackUopExtVec(2))) 544 val stFtqIdxS3 = RegNext(stFtqIdxS2) 545 val stFtqOffsetS3 = RegNext(stFtqOffsetS2) 546 val rollbackUop = rollbackUopExt.uop 547 val rollbackStFtqIdx = stFtqIdxS3(rollbackUopExt.flag) 548 val rollbackStFtqOffset = stFtqOffsetS3(rollbackUopExt.flag) 549 550 // check if rollback request is still valid in parallel 551 val rollbackValidVecChecked = Wire(Vec(3, Bool())) 552 for(((v, uop), idx) <- rollbackValidVec.zip(rollbackUopExtVec.map(i => i.uop)).zipWithIndex) { 553 rollbackValidVecChecked(idx) := v && 554 (!lastCycleRedirect.valid || isBefore(uop.roqIdx, lastCycleRedirect.bits.roqIdx)) && 555 (!lastlastCycleRedirect.valid || isBefore(uop.roqIdx, lastlastCycleRedirect.bits.roqIdx)) 556 } 557 558 io.rollback.bits.roqIdx := rollbackUop.roqIdx 559 io.rollback.bits.ftqIdx := rollbackUop.cf.ftqPtr 560 io.rollback.bits.stFtqIdx := rollbackStFtqIdx 561 io.rollback.bits.ftqOffset := rollbackUop.cf.ftqOffset 562 io.rollback.bits.stFtqOffset := rollbackStFtqOffset 563 io.rollback.bits.level := RedirectLevel.flush 564 io.rollback.bits.interrupt := DontCare 565 io.rollback.bits.cfiUpdate := DontCare 566 io.rollback.bits.cfiUpdate.target := rollbackUop.cf.pc 567 // io.rollback.bits.pc := DontCare 568 569 io.rollback.valid := rollbackValidVecChecked.asUInt.orR && !lastCycleFlush && !lastlastCycleFlush 570 571 when(io.rollback.valid) { 572 // XSDebug("Mem rollback: pc %x roqidx %d\n", io.rollback.bits.cfi, io.rollback.bits.roqIdx.asUInt) 573 } 574 575 /** 576 * Memory mapped IO / other uncached operations 577 * 578 * States: 579 * (1) writeback from store units: mark as pending 580 * (2) when they reach ROB's head, they can be sent to uncache channel 581 * (3) response from uncache channel: mark as datavalid 582 * (4) writeback to ROB (and other units): mark as writebacked 583 * (5) ROB commits the instruction: same as normal instructions 584 */ 585 //(2) when they reach ROB's head, they can be sent to uncache channel 586 val lqTailMmioPending = WireInit(pending(deqPtr)) 587 val lqTailAllocated = WireInit(allocated(deqPtr)) 588 val s_idle :: s_req :: s_resp :: s_wait :: Nil = Enum(4) 589 val uncacheState = RegInit(s_idle) 590 switch(uncacheState) { 591 is(s_idle) { 592 when(io.roq.pendingld && lqTailMmioPending && lqTailAllocated) { 593 uncacheState := s_req 594 } 595 } 596 is(s_req) { 597 when(io.uncache.req.fire()) { 598 uncacheState := s_resp 599 } 600 } 601 is(s_resp) { 602 when(io.uncache.resp.fire()) { 603 uncacheState := s_wait 604 } 605 } 606 is(s_wait) { 607 when(io.roq.commit) { 608 uncacheState := s_idle // ready for next mmio 609 } 610 } 611 } 612 io.uncache.req.valid := uncacheState === s_req 613 614 dataModule.io.uncache.raddr := deqPtrExtNext.value 615 616 io.uncache.req.bits.cmd := MemoryOpConstants.M_XRD 617 io.uncache.req.bits.addr := dataModule.io.uncache.rdata.paddr 618 io.uncache.req.bits.data := dataModule.io.uncache.rdata.data 619 io.uncache.req.bits.mask := dataModule.io.uncache.rdata.mask 620 621 io.uncache.req.bits.id := DontCare 622 623 io.uncache.resp.ready := true.B 624 625 when (io.uncache.req.fire()) { 626 pending(deqPtr) := false.B 627 628 XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n", 629 uop(deqPtr).cf.pc, 630 io.uncache.req.bits.addr, 631 io.uncache.req.bits.data, 632 io.uncache.req.bits.cmd, 633 io.uncache.req.bits.mask 634 ) 635 } 636 637 // (3) response from uncache channel: mark as datavalid 638 dataModule.io.uncache.wen := false.B 639 when(io.uncache.resp.fire()){ 640 datavalid(deqPtr) := true.B 641 dataModule.io.uncacheWrite(deqPtr, io.uncache.resp.bits.data(XLEN-1, 0)) 642 dataModule.io.uncache.wen := true.B 643 644 XSDebug("uncache resp: data %x\n", io.dcache.bits.data) 645 } 646 647 // Read vaddr for mem exception 648 // no inst will be commited 1 cycle before tval update 649 vaddrModule.io.raddr(0) := (deqPtrExt + commitCount).value 650 io.exceptionAddr.vaddr := vaddrModule.io.rdata(0) 651 652 // misprediction recovery / exception redirect 653 // invalidate lq term using robIdx 654 val needCancel = Wire(Vec(LoadQueueSize, Bool())) 655 for (i <- 0 until LoadQueueSize) { 656 needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect, io.flush) && allocated(i) 657 when (needCancel(i)) { 658 allocated(i) := false.B 659 } 660 } 661 662 /** 663 * update pointers 664 */ 665 val lastCycleCancelCount = PopCount(RegNext(needCancel)) 666 // when io.brqRedirect.valid, we don't allow eneuque even though it may fire. 667 val enqNumber = Mux(io.enq.canAccept && io.enq.sqCanAccept && !(io.brqRedirect.valid || io.flush), PopCount(io.enq.req.map(_.valid)), 0.U) 668 when (lastCycleRedirect.valid || lastCycleFlush) { 669 // we recover the pointers in the next cycle after redirect 670 enqPtrExt := VecInit(enqPtrExt.map(_ - lastCycleCancelCount)) 671 }.otherwise { 672 enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber)) 673 } 674 675 deqPtrExtNext := deqPtrExt + commitCount 676 deqPtrExt := deqPtrExtNext 677 678 val validCount = distanceBetween(enqPtrExt(0), deqPtrExt) 679 680 allowEnqueue := validCount + enqNumber <= (LoadQueueSize - RenameWidth).U 681 682 /** 683 * misc 684 */ 685 io.roq.storeDataRoqWb := DontCare // will be overwriten by store queue's result 686 687 // perf counter 688 QueuePerf(LoadQueueSize, validCount, !allowEnqueue) 689 io.lqFull := !allowEnqueue 690 XSPerfAccumulate("rollback", io.rollback.valid) // rollback redirect generated 691 XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req 692 XSPerfAccumulate("mmioCnt", io.uncache.req.fire()) 693 XSPerfAccumulate("refill", io.dcache.valid) 694 XSPerfAccumulate("writeback_success", PopCount(VecInit(io.ldout.map(i => i.fire())))) 695 XSPerfAccumulate("writeback_blocked", PopCount(VecInit(io.ldout.map(i => i.valid && !i.ready)))) 696 XSPerfAccumulate("utilization_miss", PopCount((0 until LoadQueueSize).map(i => allocated(i) && miss(i)))) 697 698 // debug info 699 XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt.flag, deqPtr) 700 701 def PrintFlag(flag: Bool, name: String): Unit = { 702 when(flag) { 703 XSDebug(false, true.B, name) 704 }.otherwise { 705 XSDebug(false, true.B, " ") 706 } 707 } 708 709 for (i <- 0 until LoadQueueSize) { 710 if (i % 4 == 0) XSDebug("") 711 XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.debug(i).paddr) 712 PrintFlag(allocated(i), "a") 713 PrintFlag(allocated(i) && datavalid(i), "v") 714 PrintFlag(allocated(i) && writebacked(i), "w") 715 PrintFlag(allocated(i) && miss(i), "m") 716 // PrintFlag(allocated(i) && listening(i), "l") 717 PrintFlag(allocated(i) && pending(i), "p") 718 XSDebug(false, true.B, " ") 719 if (i % 4 == 3 || i == LoadQueueSize - 1) XSDebug(false, true.B, "\n") 720 } 721 722} 723