1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import xiangshan._ 24import xiangshan.backend.fu.fpu.FPU 25import xiangshan.backend.rob.RobLsqIO 26import xiangshan.cache._ 27import xiangshan.frontend.FtqPtr 28import xiangshan.ExceptionNO._ 29import chisel3.ExcitingUtils 30 31class LqPtr(implicit p: Parameters) extends CircularQueuePtr[LqPtr]( 32 p => p(XSCoreParamsKey).LoadQueueSize 33){ 34} 35 36object LqPtr { 37 def apply(f: Bool, v: UInt)(implicit p: Parameters): LqPtr = { 38 val ptr = Wire(new LqPtr) 39 ptr.flag := f 40 ptr.value := v 41 ptr 42 } 43} 44 45trait HasLoadHelper { this: XSModule => 46 def rdataHelper(uop: MicroOp, rdata: UInt): UInt = { 47 val fpWen = uop.ctrl.fpWen 48 LookupTree(uop.ctrl.fuOpType, List( 49 LSUOpType.lb -> SignExt(rdata(7, 0) , XLEN), 50 LSUOpType.lh -> SignExt(rdata(15, 0), XLEN), 51 /* 52 riscv-spec-20191213: 12.2 NaN Boxing of Narrower Values 53 Any operation that writes a narrower result to an f register must write 54 all 1s to the uppermost FLEN−n bits to yield a legal NaN-boxed value. 55 */ 56 LSUOpType.lw -> Mux(fpWen, FPU.box(rdata, FPU.S), SignExt(rdata(31, 0), XLEN)), 57 LSUOpType.ld -> Mux(fpWen, FPU.box(rdata, FPU.D), SignExt(rdata(63, 0), XLEN)), 58 LSUOpType.lbu -> ZeroExt(rdata(7, 0) , XLEN), 59 LSUOpType.lhu -> ZeroExt(rdata(15, 0), XLEN), 60 LSUOpType.lwu -> ZeroExt(rdata(31, 0), XLEN), 61 )) 62 } 63} 64 65class LqEnqIO(implicit p: Parameters) extends XSBundle { 66 val canAccept = Output(Bool()) 67 val sqCanAccept = Input(Bool()) 68 val needAlloc = Vec(exuParameters.LsExuCnt, Input(Bool())) 69 val req = Vec(exuParameters.LsExuCnt, Flipped(ValidIO(new MicroOp))) 70 val resp = Vec(exuParameters.LsExuCnt, Output(new LqPtr)) 71} 72 73class LqTriggerIO(implicit p: Parameters) extends XSBundle { 74 val hitLoadAddrTriggerHitVec = Input(Vec(3, Bool())) 75 val lqLoadAddrTriggerHitVec = Output(Vec(3, Bool())) 76} 77 78// Load Queue 79class LoadQueue(implicit p: Parameters) extends XSModule 80 with HasDCacheParameters 81 with HasCircularQueuePtrHelper 82 with HasLoadHelper 83 with HasPerfEvents 84{ 85 val io = IO(new Bundle() { 86 val enq = new LqEnqIO 87 val brqRedirect = Flipped(ValidIO(new Redirect)) 88 val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LqWriteBundle))) 89 val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 90 val s2_load_data_forwarded = Vec(LoadPipelineWidth, Input(Bool())) 91 val s3_delayed_load_error = Vec(LoadPipelineWidth, Input(Bool())) 92 val s3_dcache_require_replay = Vec(LoadPipelineWidth, Input(Bool())) 93 val ldout = Vec(LoadPipelineWidth, DecoupledIO(new ExuOutput)) // writeback int load 94 val load_s1 = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) // TODO: to be renamed 95 val loadViolationQuery = Vec(LoadPipelineWidth, Flipped(new LoadViolationQueryIO)) 96 val rob = Flipped(new RobLsqIO) 97 val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store 98 val refill = Flipped(ValidIO(new Refill)) 99 val release = Flipped(ValidIO(new Release)) 100 val uncache = new UncacheWordIO 101 val exceptionAddr = new ExceptionAddrIO 102 val lqFull = Output(Bool()) 103 val lqCancelCnt = Output(UInt(log2Up(LoadQueueSize + 1).W)) 104 val trigger = Vec(LoadPipelineWidth, new LqTriggerIO) 105 }) 106 107 println("LoadQueue: size:" + LoadQueueSize) 108 109 val uop = Reg(Vec(LoadQueueSize, new MicroOp)) 110 // val data = Reg(Vec(LoadQueueSize, new LsRobEntry)) 111 val dataModule = Module(new LoadQueueDataWrapper(LoadQueueSize, wbNumRead = LoadPipelineWidth, wbNumWrite = LoadPipelineWidth)) 112 dataModule.io := DontCare 113 val vaddrModule = Module(new SyncDataModuleTemplate(UInt(VAddrBits.W), LoadQueueSize, numRead = LoadPipelineWidth + 1, numWrite = LoadPipelineWidth)) 114 vaddrModule.io := DontCare 115 val vaddrTriggerResultModule = Module(new SyncDataModuleTemplate(Vec(3, Bool()), LoadQueueSize, numRead = LoadPipelineWidth, numWrite = LoadPipelineWidth)) 116 vaddrTriggerResultModule.io := DontCare 117 val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated 118 val datavalid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid 119 val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB 120 val released = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // load data has been released by dcache 121 val error = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // load data has been corrupted 122 val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request 123 // val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result 124 val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob 125 val refilling = WireInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB 126 127 val debug_mmio = Reg(Vec(LoadQueueSize, Bool())) // mmio: inst is an mmio inst 128 val debug_paddr = Reg(Vec(LoadQueueSize, UInt(PAddrBits.W))) // mmio: inst is an mmio inst 129 130 val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new LqPtr)))) 131 val deqPtrExt = RegInit(0.U.asTypeOf(new LqPtr)) 132 val deqPtrExtNext = Wire(new LqPtr) 133 134 val enqPtr = enqPtrExt(0).value 135 val deqPtr = deqPtrExt.value 136 137 val validCount = distanceBetween(enqPtrExt(0), deqPtrExt) 138 val allowEnqueue = validCount <= (LoadQueueSize - LoadPipelineWidth).U 139 140 val deqMask = UIntToMask(deqPtr, LoadQueueSize) 141 val enqMask = UIntToMask(enqPtr, LoadQueueSize) 142 143 val commitCount = RegNext(io.rob.lcommit) 144 145 val release1cycle = io.release 146 val release2cycle = RegNext(io.release) 147 val release2cycle_dup_lsu = RegNext(io.release) 148 149 /** 150 * Enqueue at dispatch 151 * 152 * Currently, LoadQueue only allows enqueue when #emptyEntries > EnqWidth 153 */ 154 io.enq.canAccept := allowEnqueue 155 156 val canEnqueue = io.enq.req.map(_.valid) 157 val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect)) 158 for (i <- 0 until io.enq.req.length) { 159 val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i)) 160 val lqIdx = enqPtrExt(offset) 161 val index = io.enq.req(i).bits.lqIdx.value 162 when (canEnqueue(i) && !enqCancel(i)) { 163 uop(index).robIdx := io.enq.req(i).bits.robIdx 164 allocated(index) := true.B 165 datavalid(index) := false.B 166 writebacked(index) := false.B 167 released(index) := false.B 168 miss(index) := false.B 169 pending(index) := false.B 170 error(index) := false.B 171 XSError(!io.enq.canAccept || !io.enq.sqCanAccept, s"must accept $i\n") 172 XSError(index =/= lqIdx.value, s"must be the same entry $i\n") 173 } 174 io.enq.resp(i) := lqIdx 175 } 176 XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n") 177 178 /** 179 * Writeback load from load units 180 * 181 * Most load instructions writeback to regfile at the same time. 182 * However, 183 * (1) For an mmio instruction with exceptions, it writes back to ROB immediately. 184 * (2) For an mmio instruction without exceptions, it does not write back. 185 * The mmio instruction will be sent to lower level when it reaches ROB's head. 186 * After uncache response, it will write back through arbiter with loadUnit. 187 * (3) For cache misses, it is marked miss and sent to dcache later. 188 * After cache refills, it will write back through arbiter with loadUnit. 189 */ 190 for (i <- 0 until LoadPipelineWidth) { 191 dataModule.io.wb.wen(i) := false.B 192 vaddrTriggerResultModule.io.wen(i) := false.B 193 val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value 194 195 // most lq status need to be updated immediately after load writeback to lq 196 // flag bits in lq needs to be updated accurately 197 when(io.loadIn(i).fire()) { 198 when(io.loadIn(i).bits.miss) { 199 XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 200 io.loadIn(i).bits.uop.lqIdx.asUInt, 201 io.loadIn(i).bits.uop.cf.pc, 202 io.loadIn(i).bits.vaddr, 203 io.loadIn(i).bits.paddr, 204 io.loadIn(i).bits.data, 205 io.loadIn(i).bits.mask, 206 io.loadIn(i).bits.forwardData.asUInt, 207 io.loadIn(i).bits.forwardMask.asUInt, 208 io.loadIn(i).bits.mmio 209 ) 210 }.otherwise { 211 XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 212 io.loadIn(i).bits.uop.lqIdx.asUInt, 213 io.loadIn(i).bits.uop.cf.pc, 214 io.loadIn(i).bits.vaddr, 215 io.loadIn(i).bits.paddr, 216 io.loadIn(i).bits.data, 217 io.loadIn(i).bits.mask, 218 io.loadIn(i).bits.forwardData.asUInt, 219 io.loadIn(i).bits.forwardMask.asUInt, 220 io.loadIn(i).bits.mmio 221 )} 222 if(EnableFastForward){ 223 datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.s2_load_data_forwarded(i)) && 224 !io.loadIn(i).bits.mmio && // mmio data is not valid until we finished uncache access 225 !io.s3_dcache_require_replay(i) // do not writeback if that inst will be resend from rs 226 } else { 227 datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.s2_load_data_forwarded(i)) && 228 !io.loadIn(i).bits.mmio // mmio data is not valid until we finished uncache access 229 } 230 writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 231 232 debug_mmio(loadWbIndex) := io.loadIn(i).bits.mmio 233 debug_paddr(loadWbIndex) := io.loadIn(i).bits.paddr 234 235 val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 236 if(EnableFastForward){ 237 miss(loadWbIndex) := dcacheMissed && !io.s2_load_data_forwarded(i) && !io.s3_dcache_require_replay(i) 238 } else { 239 miss(loadWbIndex) := dcacheMissed && !io.s2_load_data_forwarded(i) 240 } 241 pending(loadWbIndex) := io.loadIn(i).bits.mmio 242 released(loadWbIndex) := release2cycle.valid && 243 io.loadIn(i).bits.paddr(PAddrBits-1, DCacheLineOffset) === release2cycle.bits.paddr(PAddrBits-1, DCacheLineOffset) || 244 release1cycle.valid && 245 io.loadIn(i).bits.paddr(PAddrBits-1, DCacheLineOffset) === release1cycle.bits.paddr(PAddrBits-1, DCacheLineOffset) 246 } 247 248 // data bit in lq can be updated when load_s2 valid 249 when(io.loadIn(i).bits.writeQueueData){ 250 val loadWbData = Wire(new LQDataEntry) 251 loadWbData.paddr := io.loadIn(i).bits.paddr 252 loadWbData.mask := io.loadIn(i).bits.mask 253 loadWbData.data := io.loadIn(i).bits.forwardData.asUInt // fwd data 254 loadWbData.fwdMask := io.loadIn(i).bits.forwardMask 255 dataModule.io.wbWrite(i, loadWbIndex, loadWbData) 256 dataModule.io.wb.wen(i) := true.B 257 258 // dirty code for load instr 259 uop(loadWbIndex).pdest := io.loadIn(i).bits.uop.pdest 260 uop(loadWbIndex).cf := io.loadIn(i).bits.uop.cf 261 uop(loadWbIndex).ctrl := io.loadIn(i).bits.uop.ctrl 262 uop(loadWbIndex).debugInfo := io.loadIn(i).bits.uop.debugInfo 263 264 vaddrTriggerResultModule.io.waddr(i) := loadWbIndex 265 vaddrTriggerResultModule.io.wdata(i) := io.trigger(i).hitLoadAddrTriggerHitVec 266 267 vaddrTriggerResultModule.io.wen(i) := true.B 268 } 269 270 // vaddrModule write is delayed, as vaddrModule will not be read right after write 271 vaddrModule.io.waddr(i) := RegNext(loadWbIndex) 272 vaddrModule.io.wdata(i) := RegNext(io.loadIn(i).bits.vaddr) 273 vaddrModule.io.wen(i) := RegNext(io.loadIn(i).fire()) 274 } 275 276 when(io.refill.valid) { 277 XSDebug("miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data) 278 } 279 280 // Refill 64 bit in a cycle 281 // Refill data comes back from io.dcache.resp 282 dataModule.io.refill.valid := io.refill.valid 283 dataModule.io.refill.paddr := io.refill.bits.addr 284 dataModule.io.refill.data := io.refill.bits.data 285 286 val s3_dcache_require_replay = WireInit(VecInit((0 until LoadPipelineWidth).map(i =>{ 287 RegNext(io.loadIn(i).fire()) && RegNext(io.s3_dcache_require_replay(i)) 288 }))) 289 dontTouch(s3_dcache_require_replay) 290 291 (0 until LoadQueueSize).map(i => { 292 dataModule.io.refill.refillMask(i) := allocated(i) && miss(i) 293 when(dataModule.io.refill.valid && dataModule.io.refill.refillMask(i) && dataModule.io.refill.matchMask(i)) { 294 datavalid(i) := true.B 295 miss(i) := false.B 296 when(!s3_dcache_require_replay.asUInt.orR){ 297 refilling(i) := true.B 298 } 299 when(io.refill.bits.error) { 300 error(i) := true.B 301 } 302 } 303 }) 304 305 for (i <- 0 until LoadPipelineWidth) { 306 val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value 307 val lastCycleLoadWbIndex = RegNext(loadWbIndex) 308 // update miss state in load s3 309 if(!EnableFastForward){ 310 // s3_dcache_require_replay will be used to update lq flag 1 cycle after for better timing 311 // 312 // io.dcacheRequireReplay comes from dcache miss req reject, which is quite slow to generate 313 when(s3_dcache_require_replay(i) && !refill_addr_hit(RegNext(io.loadIn(i).bits.paddr), io.refill.bits.addr)) { 314 // do not writeback if that inst will be resend from rs 315 // rob writeback will not be triggered by a refill before inst replay 316 miss(lastCycleLoadWbIndex) := false.B // disable refill listening 317 datavalid(lastCycleLoadWbIndex) := false.B // disable refill listening 318 assert(!datavalid(lastCycleLoadWbIndex)) 319 } 320 } 321 // update load error state in load s3 322 when(RegNext(io.loadIn(i).fire()) && io.s3_delayed_load_error(i)){ 323 uop(lastCycleLoadWbIndex).cf.exceptionVec(loadAccessFault) := true.B 324 } 325 } 326 327 328 // Writeback up to 2 missed load insts to CDB 329 // 330 // Pick 2 missed load (data refilled), write them back to cdb 331 // 2 refilled load will be selected from even/odd entry, separately 332 333 // Stage 0 334 // Generate writeback indexes 335 336 def getRemBits(input: UInt)(rem: Int): UInt = { 337 VecInit((0 until LoadQueueSize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt 338 } 339 340 val loadWbSel = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) // index selected last cycle 341 val loadWbSelV = Wire(Vec(LoadPipelineWidth, Bool())) // index selected in last cycle is valid 342 343 val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => { 344 // allocated(i) && !writebacked(i) && (datavalid(i) || refilling(i)) 345 allocated(i) && !writebacked(i) && datavalid(i) // query refilling will cause bad timing 346 })).asUInt() // use uint instead vec to reduce verilog lines 347 val remDeqMask = Seq.tabulate(LoadPipelineWidth)(getRemBits(deqMask)(_)) 348 // generate lastCycleSelect mask 349 val remFireMask = Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(UIntToOH(loadWbSel(rem)))(rem)) 350 // generate real select vec 351 def toVec(a: UInt): Vec[Bool] = { 352 VecInit(a.asBools) 353 } 354 val loadRemSelVecFire = Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(loadWbSelVec)(rem) & ~remFireMask(rem)) 355 val loadRemSelVecNotFire = Seq.tabulate(LoadPipelineWidth)(getRemBits(loadWbSelVec)(_)) 356 val loadRemSel = Seq.tabulate(LoadPipelineWidth)(rem => Mux( 357 io.ldout(rem).fire(), 358 getFirstOne(toVec(loadRemSelVecFire(rem)), remDeqMask(rem)), 359 getFirstOne(toVec(loadRemSelVecNotFire(rem)), remDeqMask(rem)) 360 )) 361 362 363 val loadWbSelGen = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) 364 val loadWbSelVGen = Wire(Vec(LoadPipelineWidth, Bool())) 365 (0 until LoadPipelineWidth).foreach(index => { 366 loadWbSelGen(index) := ( 367 if (LoadPipelineWidth > 1) Cat(loadRemSel(index), index.U(log2Ceil(LoadPipelineWidth).W)) 368 else loadRemSel(index) 369 ) 370 loadWbSelVGen(index) := Mux(io.ldout(index).fire, loadRemSelVecFire(index).asUInt.orR, loadRemSelVecNotFire(index).asUInt.orR) 371 }) 372 373 (0 until LoadPipelineWidth).map(i => { 374 loadWbSel(i) := RegNext(loadWbSelGen(i)) 375 loadWbSelV(i) := RegNext(loadWbSelVGen(i), init = false.B) 376 when(io.ldout(i).fire()){ 377 // Mark them as writebacked, so they will not be selected in the next cycle 378 writebacked(loadWbSel(i)) := true.B 379 } 380 }) 381 382 // Stage 1 383 // Use indexes generated in cycle 0 to read data 384 // writeback data to cdb 385 (0 until LoadPipelineWidth).map(i => { 386 // data select 387 dataModule.io.wb.raddr(i) := loadWbSelGen(i) 388 val rdata = dataModule.io.wb.rdata(i).data 389 val seluop = uop(loadWbSel(i)) 390 val func = seluop.ctrl.fuOpType 391 val raddr = dataModule.io.wb.rdata(i).paddr 392 val rdataSel = LookupTree(raddr(2, 0), List( 393 "b000".U -> rdata(63, 0), 394 "b001".U -> rdata(63, 8), 395 "b010".U -> rdata(63, 16), 396 "b011".U -> rdata(63, 24), 397 "b100".U -> rdata(63, 32), 398 "b101".U -> rdata(63, 40), 399 "b110".U -> rdata(63, 48), 400 "b111".U -> rdata(63, 56) 401 )) 402 val rdataPartialLoad = rdataHelper(seluop, rdataSel) 403 404 // writeback missed int/fp load 405 // 406 // Int load writeback will finish (if not blocked) in one cycle 407 io.ldout(i).bits.uop := seluop 408 io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr) 409 io.ldout(i).bits.data := rdataPartialLoad 410 io.ldout(i).bits.redirectValid := false.B 411 io.ldout(i).bits.redirect := DontCare 412 io.ldout(i).bits.debug.isMMIO := debug_mmio(loadWbSel(i)) 413 io.ldout(i).bits.debug.isPerfCnt := false.B 414 io.ldout(i).bits.debug.paddr := debug_paddr(loadWbSel(i)) 415 io.ldout(i).bits.debug.vaddr := vaddrModule.io.rdata(i+1) 416 io.ldout(i).bits.fflags := DontCare 417 io.ldout(i).valid := loadWbSelV(i) 418 419 when(io.ldout(i).fire()) { 420 XSInfo("int load miss write to cbd robidx %d lqidx %d pc 0x%x mmio %x\n", 421 io.ldout(i).bits.uop.robIdx.asUInt, 422 io.ldout(i).bits.uop.lqIdx.asUInt, 423 io.ldout(i).bits.uop.cf.pc, 424 debug_mmio(loadWbSel(i)) 425 ) 426 } 427 428 }) 429 430 /** 431 * Load commits 432 * 433 * When load commited, mark it as !allocated and move deqPtrExt forward. 434 */ 435 (0 until CommitWidth).map(i => { 436 when(commitCount > i.U){ 437 allocated((deqPtrExt+i.U).value) := false.B 438 XSError(!allocated((deqPtrExt+i.U).value), s"why commit invalid entry $i?\n") 439 } 440 }) 441 442 def getFirstOne(mask: Vec[Bool], startMask: UInt) = { 443 val length = mask.length 444 val highBits = (0 until length).map(i => mask(i) & ~startMask(i)) 445 val highBitsUint = Cat(highBits.reverse) 446 PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt)) 447 } 448 449 def getOldest[T <: XSBundleWithMicroOp](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = { 450 assert(valid.length == bits.length) 451 assert(isPow2(valid.length)) 452 if (valid.length == 1) { 453 (valid, bits) 454 } else if (valid.length == 2) { 455 val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0))))) 456 for (i <- res.indices) { 457 res(i).valid := valid(i) 458 res(i).bits := bits(i) 459 } 460 val oldest = Mux(valid(0) && valid(1), Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx), res(1), res(0)), Mux(valid(0) && !valid(1), res(0), res(1))) 461 (Seq(oldest.valid), Seq(oldest.bits)) 462 } else { 463 val left = getOldest(valid.take(valid.length / 2), bits.take(valid.length / 2)) 464 val right = getOldest(valid.takeRight(valid.length / 2), bits.takeRight(valid.length / 2)) 465 getOldest(left._1 ++ right._1, left._2 ++ right._2) 466 } 467 } 468 469 def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = { 470 assert(valid.length == uop.length) 471 val length = valid.length 472 (0 until length).map(i => { 473 (0 until length).map(j => { 474 Mux(valid(i) && valid(j), 475 isAfter(uop(i).robIdx, uop(j).robIdx), 476 Mux(!valid(i), true.B, false.B)) 477 }) 478 }) 479 } 480 481 /** 482 * Store-Load Memory violation detection 483 * 484 * When store writes back, it searches LoadQueue for younger load instructions 485 * with the same load physical address. They loaded wrong data and need re-execution. 486 * 487 * Cycle 0: Store Writeback 488 * Generate match vector for store address with rangeMask(stPtr, enqPtr). 489 * Besides, load instructions in LoadUnit_S1 and S2 are also checked. 490 * Cycle 1: Redirect Generation 491 * There're three possible types of violations, up to 6 possible redirect requests. 492 * Choose the oldest load (part 1). (4 + 2) -> (1 + 2) 493 * Cycle 2: Redirect Fire 494 * Choose the oldest load (part 2). (3 -> 1) 495 * Prepare redirect request according to the detected violation. 496 * Fire redirect request (if valid) 497 */ 498 499 // stage 0: lq l1 wb l1 wb lq 500 // | | | | | | (paddr match) 501 // stage 1: lq l1 wb l1 wb lq 502 // | | | | | | 503 // | |------------| | 504 // | | | 505 // stage 2: lq l1wb lq 506 // | | | 507 // -------------------- 508 // | 509 // rollback req 510 io.load_s1 := DontCare 511 def detectRollback(i: Int) = { 512 val startIndex = io.storeIn(i).bits.uop.lqIdx.value 513 val lqIdxMask = UIntToMask(startIndex, LoadQueueSize) 514 val xorMask = lqIdxMask ^ enqMask 515 val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === enqPtrExt(0).flag 516 val stToEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask) 517 518 // check if load already in lq needs to be rolledback 519 dataModule.io.violation(i).paddr := io.storeIn(i).bits.paddr 520 dataModule.io.violation(i).mask := io.storeIn(i).bits.mask 521 val addrMaskMatch = RegNext(dataModule.io.violation(i).violationMask) 522 val entryNeedCheck = RegNext(VecInit((0 until LoadQueueSize).map(j => { 523 allocated(j) && stToEnqPtrMask(j) && (datavalid(j) || miss(j)) 524 }))) 525 val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => { 526 addrMaskMatch(j) && entryNeedCheck(j) 527 })) 528 val lqViolation = lqViolationVec.asUInt().orR() 529 val lqViolationIndex = getFirstOne(lqViolationVec, RegNext(lqIdxMask)) 530 val lqViolationUop = uop(lqViolationIndex) 531 // lqViolationUop.lqIdx.flag := deqMask(lqViolationIndex) ^ deqPtrExt.flag 532 // lqViolationUop.lqIdx.value := lqViolationIndex 533 XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n") 534 535 // when l/s writeback to rob together, check if rollback is needed 536 val wbViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 537 io.loadIn(j).valid && 538 isAfter(io.loadIn(j).bits.uop.robIdx, io.storeIn(i).bits.uop.robIdx) && 539 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) && 540 (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR 541 }))) 542 val wbViolation = wbViolationVec.asUInt().orR() && RegNext(io.storeIn(i).valid && !io.storeIn(i).bits.miss) 543 val wbViolationUop = getOldest(wbViolationVec, RegNext(VecInit(io.loadIn.map(_.bits))))._2(0).uop 544 XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n") 545 546 // check if rollback is needed for load in l1 547 val l1ViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 548 io.load_s1(j).valid && // L1 valid 549 isAfter(io.load_s1(j).uop.robIdx, io.storeIn(i).bits.uop.robIdx) && 550 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.load_s1(j).paddr(PAddrBits - 1, 3) && 551 (io.storeIn(i).bits.mask & io.load_s1(j).mask).orR 552 }))) 553 val l1Violation = l1ViolationVec.asUInt().orR() && RegNext(io.storeIn(i).valid && !io.storeIn(i).bits.miss) 554 val load_s1 = Wire(Vec(LoadPipelineWidth, new XSBundleWithMicroOp)) 555 (0 until LoadPipelineWidth).foreach(i => load_s1(i).uop := io.load_s1(i).uop) 556 val l1ViolationUop = getOldest(l1ViolationVec, RegNext(load_s1))._2(0).uop 557 XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n") 558 559 XSDebug( 560 l1Violation, 561 "need rollback (l1 load) pc %x robidx %d target %x\n", 562 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, l1ViolationUop.robIdx.asUInt 563 ) 564 XSDebug( 565 lqViolation, 566 "need rollback (ld wb before store) pc %x robidx %d target %x\n", 567 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, lqViolationUop.robIdx.asUInt 568 ) 569 XSDebug( 570 wbViolation, 571 "need rollback (ld/st wb together) pc %x robidx %d target %x\n", 572 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, wbViolationUop.robIdx.asUInt 573 ) 574 575 ((lqViolation, lqViolationUop), (wbViolation, wbViolationUop), (l1Violation, l1ViolationUop)) 576 } 577 578 def rollbackSel(a: Valid[MicroOpRbExt], b: Valid[MicroOpRbExt]): ValidIO[MicroOpRbExt] = { 579 Mux( 580 a.valid, 581 Mux( 582 b.valid, 583 Mux(isAfter(a.bits.uop.robIdx, b.bits.uop.robIdx), b, a), // a,b both valid, sel oldest 584 a // sel a 585 ), 586 b // sel b 587 ) 588 } 589 val lastCycleRedirect = RegNext(io.brqRedirect) 590 val lastlastCycleRedirect = RegNext(lastCycleRedirect) 591 592 // S2: select rollback (part1) and generate rollback request 593 // rollback check 594 // Wb/L1 rollback seq check is done in s2 595 val rollbackWb = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 596 val rollbackL1 = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 597 val rollbackL1Wb = Wire(Vec(StorePipelineWidth*2, Valid(new MicroOpRbExt))) 598 // Lq rollback seq check is done in s3 (next stage), as getting rollbackLq MicroOp is slow 599 val rollbackLq = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt))) 600 // store ftq index for store set update 601 val stFtqIdxS2 = Wire(Vec(StorePipelineWidth, new FtqPtr)) 602 val stFtqOffsetS2 = Wire(Vec(StorePipelineWidth, UInt(log2Up(PredictWidth).W))) 603 for (i <- 0 until StorePipelineWidth) { 604 val detectedRollback = detectRollback(i) 605 rollbackLq(i).valid := detectedRollback._1._1 && RegNext(io.storeIn(i).valid) 606 rollbackLq(i).bits.uop := detectedRollback._1._2 607 rollbackLq(i).bits.flag := i.U 608 rollbackWb(i).valid := detectedRollback._2._1 && RegNext(io.storeIn(i).valid) 609 rollbackWb(i).bits.uop := detectedRollback._2._2 610 rollbackWb(i).bits.flag := i.U 611 rollbackL1(i).valid := detectedRollback._3._1 && RegNext(io.storeIn(i).valid) 612 rollbackL1(i).bits.uop := detectedRollback._3._2 613 rollbackL1(i).bits.flag := i.U 614 rollbackL1Wb(2*i) := rollbackL1(i) 615 rollbackL1Wb(2*i+1) := rollbackWb(i) 616 stFtqIdxS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqPtr) 617 stFtqOffsetS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqOffset) 618 } 619 620 val rollbackL1WbSelected = ParallelOperation(rollbackL1Wb, rollbackSel) 621 val rollbackL1WbVReg = RegNext(rollbackL1WbSelected.valid) 622 val rollbackL1WbReg = RegEnable(rollbackL1WbSelected.bits, rollbackL1WbSelected.valid) 623 val rollbackLqVReg = rollbackLq.map(x => RegNext(x.valid)) 624 val rollbackLqReg = rollbackLq.map(x => RegEnable(x.bits, x.valid)) 625 626 // S3: select rollback (part2), generate rollback request, then fire rollback request 627 // Note that we use robIdx - 1.U to flush the load instruction itself. 628 // Thus, here if last cycle's robIdx equals to this cycle's robIdx, it still triggers the redirect. 629 630 val rollbackValidVec = rollbackL1WbVReg +: rollbackLqVReg 631 val rollbackUopExtVec = rollbackL1WbReg +: rollbackLqReg 632 633 // select uop in parallel 634 val mask = getAfterMask(rollbackValidVec, rollbackUopExtVec.map(i => i.uop)) 635 val lqs = getOldest(rollbackLqVReg, rollbackLqReg) 636 val rollbackUopExt = getOldest(lqs._1 :+ rollbackL1WbVReg, lqs._2 :+ rollbackL1WbReg)._2(0) 637 val stFtqIdxS3 = RegNext(stFtqIdxS2) 638 val stFtqOffsetS3 = RegNext(stFtqOffsetS2) 639 val rollbackUop = rollbackUopExt.uop 640 val rollbackStFtqIdx = stFtqIdxS3(rollbackUopExt.flag) 641 val rollbackStFtqOffset = stFtqOffsetS3(rollbackUopExt.flag) 642 643 // check if rollback request is still valid in parallel 644 val rollbackValidVecChecked = Wire(Vec(LoadPipelineWidth + 1, Bool())) 645 for(((v, uop), idx) <- rollbackValidVec.zip(rollbackUopExtVec.map(i => i.uop)).zipWithIndex) { 646 rollbackValidVecChecked(idx) := v && 647 (!lastCycleRedirect.valid || isBefore(uop.robIdx, lastCycleRedirect.bits.robIdx)) && 648 (!lastlastCycleRedirect.valid || isBefore(uop.robIdx, lastlastCycleRedirect.bits.robIdx)) 649 } 650 651 io.rollback.bits.robIdx := rollbackUop.robIdx 652 io.rollback.bits.ftqIdx := rollbackUop.cf.ftqPtr 653 io.rollback.bits.stFtqIdx := rollbackStFtqIdx 654 io.rollback.bits.ftqOffset := rollbackUop.cf.ftqOffset 655 io.rollback.bits.stFtqOffset := rollbackStFtqOffset 656 io.rollback.bits.level := RedirectLevel.flush 657 io.rollback.bits.interrupt := DontCare 658 io.rollback.bits.cfiUpdate := DontCare 659 io.rollback.bits.cfiUpdate.target := rollbackUop.cf.pc 660 io.rollback.bits.debug_runahead_checkpoint_id := rollbackUop.debugInfo.runahead_checkpoint_id 661 // io.rollback.bits.pc := DontCare 662 663 io.rollback.valid := rollbackValidVecChecked.asUInt.orR 664 665 when(io.rollback.valid) { 666 // XSDebug("Mem rollback: pc %x robidx %d\n", io.rollback.bits.cfi, io.rollback.bits.robIdx.asUInt) 667 } 668 669 /** 670 * Load-Load Memory violation detection 671 * 672 * When load arrives load_s1, it searches LoadQueue for younger load instructions 673 * with the same load physical address. If younger load has been released (or observed), 674 * the younger load needs to be re-execed. 675 * 676 * For now, if re-exec it found to be needed in load_s1, we mark the older load as replayInst, 677 * the two loads will be replayed if the older load becomes the head of rob. 678 * 679 * When dcache releases a line, mark all writebacked entrys in load queue with 680 * the same line paddr as released. 681 */ 682 683 // Load-Load Memory violation query 684 val deqRightMask = UIntToMask.rightmask(deqPtr, LoadQueueSize) 685 (0 until LoadPipelineWidth).map(i => { 686 dataModule.io.release_violation(i).paddr := io.loadViolationQuery(i).req.bits.paddr 687 io.loadViolationQuery(i).req.ready := true.B 688 io.loadViolationQuery(i).resp.valid := RegNext(io.loadViolationQuery(i).req.fire()) 689 // Generate real violation mask 690 // Note that we use UIntToMask.rightmask here 691 val startIndex = io.loadViolationQuery(i).req.bits.uop.lqIdx.value 692 val lqIdxMask = UIntToMask(startIndex, LoadQueueSize) 693 val xorMask = lqIdxMask ^ enqMask 694 val sameFlag = io.loadViolationQuery(i).req.bits.uop.lqIdx.flag === enqPtrExt(0).flag 695 val ldToEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask) 696 val ldld_violation_mask_gen_1 = WireInit(VecInit((0 until LoadQueueSize).map(j => { 697 ldToEnqPtrMask(j) && // the load is younger than current load 698 allocated(j) && // entry is valid 699 released(j) && // cacheline is released 700 (datavalid(j) || miss(j)) // paddr is valid 701 }))) 702 val ldld_violation_mask_gen_2 = WireInit(VecInit((0 until LoadQueueSize).map(j => { 703 dataModule.io.release_violation(i).match_mask(j)// addr match 704 // addr match result is slow to generate, we RegNext() it 705 }))) 706 val ldld_violation_mask = RegNext(ldld_violation_mask_gen_1).asUInt & RegNext(ldld_violation_mask_gen_2).asUInt 707 dontTouch(ldld_violation_mask) 708 ldld_violation_mask.suggestName("ldldViolationMask_" + i) 709 io.loadViolationQuery(i).resp.bits.have_violation := ldld_violation_mask.orR 710 }) 711 712 // "released" flag update 713 // 714 // When io.release.valid (release1cycle.valid), it uses the last ld-ld paddr cam port to 715 // update release flag in 1 cycle 716 717 when(release1cycle.valid){ 718 // Take over ld-ld paddr cam port 719 dataModule.io.release_violation.takeRight(1)(0).paddr := release1cycle.bits.paddr 720 io.loadViolationQuery.takeRight(1)(0).req.ready := false.B 721 } 722 723 when(release2cycle.valid){ 724 // If a load comes in that cycle, we can not judge if it has ld-ld violation 725 // We replay that load inst from RS 726 io.loadViolationQuery.map(i => i.req.ready := 727 // use lsu side release2cycle_dup_lsu paddr for better timing 728 !i.req.bits.paddr(PAddrBits-1, DCacheLineOffset) === release2cycle_dup_lsu.bits.paddr(PAddrBits-1, DCacheLineOffset) 729 ) 730 // io.loadViolationQuery.map(i => i.req.ready := false.B) // For better timing 731 } 732 733 (0 until LoadQueueSize).map(i => { 734 when(RegNext(dataModule.io.release_violation.takeRight(1)(0).match_mask(i) && 735 allocated(i) && 736 datavalid(i) && 737 release1cycle.valid 738 )){ 739 // Note: if a load has missed in dcache and is waiting for refill in load queue, 740 // its released flag still needs to be set as true if addr matches. 741 released(i) := true.B 742 } 743 }) 744 745 /** 746 * Memory mapped IO / other uncached operations 747 * 748 * States: 749 * (1) writeback from store units: mark as pending 750 * (2) when they reach ROB's head, they can be sent to uncache channel 751 * (3) response from uncache channel: mark as datavalid 752 * (4) writeback to ROB (and other units): mark as writebacked 753 * (5) ROB commits the instruction: same as normal instructions 754 */ 755 //(2) when they reach ROB's head, they can be sent to uncache channel 756 val lqTailMmioPending = WireInit(pending(deqPtr)) 757 val lqTailAllocated = WireInit(allocated(deqPtr)) 758 val s_idle :: s_req :: s_resp :: s_wait :: Nil = Enum(4) 759 val uncacheState = RegInit(s_idle) 760 switch(uncacheState) { 761 is(s_idle) { 762 when(RegNext(io.rob.pendingld && lqTailMmioPending && lqTailAllocated)) { 763 uncacheState := s_req 764 } 765 } 766 is(s_req) { 767 when(io.uncache.req.fire()) { 768 uncacheState := s_resp 769 } 770 } 771 is(s_resp) { 772 when(io.uncache.resp.fire()) { 773 uncacheState := s_wait 774 } 775 } 776 is(s_wait) { 777 when(RegNext(io.rob.commit)) { 778 uncacheState := s_idle // ready for next mmio 779 } 780 } 781 } 782 io.uncache.req.valid := uncacheState === s_req 783 784 dataModule.io.uncache.raddr := deqPtrExtNext.value 785 786 io.uncache.req.bits.cmd := MemoryOpConstants.M_XRD 787 io.uncache.req.bits.addr := dataModule.io.uncache.rdata.paddr 788 io.uncache.req.bits.data := dataModule.io.uncache.rdata.data 789 io.uncache.req.bits.mask := dataModule.io.uncache.rdata.mask 790 791 io.uncache.req.bits.id := DontCare 792 io.uncache.req.bits.instrtype := DontCare 793 794 io.uncache.resp.ready := true.B 795 796 when (io.uncache.req.fire()) { 797 pending(deqPtr) := false.B 798 799 XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n", 800 uop(deqPtr).cf.pc, 801 io.uncache.req.bits.addr, 802 io.uncache.req.bits.data, 803 io.uncache.req.bits.cmd, 804 io.uncache.req.bits.mask 805 ) 806 } 807 808 // (3) response from uncache channel: mark as datavalid 809 dataModule.io.uncache.wen := false.B 810 when(io.uncache.resp.fire()){ 811 datavalid(deqPtr) := true.B 812 dataModule.io.uncacheWrite(deqPtr, io.uncache.resp.bits.data(XLEN-1, 0)) 813 dataModule.io.uncache.wen := true.B 814 815 XSDebug("uncache resp: data %x\n", io.refill.bits.data) 816 } 817 818 // Read vaddr for mem exception 819 // no inst will be commited 1 cycle before tval update 820 vaddrModule.io.raddr(0) := (deqPtrExt + commitCount).value 821 io.exceptionAddr.vaddr := vaddrModule.io.rdata(0) 822 823 // Read vaddr for debug 824 (0 until LoadPipelineWidth).map(i => { 825 vaddrModule.io.raddr(i+1) := loadWbSel(i) 826 }) 827 828 (0 until LoadPipelineWidth).map(i => { 829 vaddrTriggerResultModule.io.raddr(i) := loadWbSelGen(i) 830 io.trigger(i).lqLoadAddrTriggerHitVec := Mux( 831 loadWbSelV(i), 832 vaddrTriggerResultModule.io.rdata(i), 833 VecInit(Seq.fill(3)(false.B)) 834 ) 835 }) 836 837 // misprediction recovery / exception redirect 838 // invalidate lq term using robIdx 839 val needCancel = Wire(Vec(LoadQueueSize, Bool())) 840 for (i <- 0 until LoadQueueSize) { 841 needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i) 842 when (needCancel(i)) { 843 allocated(i) := false.B 844 } 845 } 846 847 /** 848 * update pointers 849 */ 850 val lastEnqCancel = PopCount(RegNext(VecInit(canEnqueue.zip(enqCancel).map(x => x._1 && x._2)))) 851 val lastCycleCancelCount = PopCount(RegNext(needCancel)) 852 val enqNumber = Mux(io.enq.canAccept && io.enq.sqCanAccept, PopCount(io.enq.req.map(_.valid)), 0.U) 853 when (lastCycleRedirect.valid) { 854 // we recover the pointers in the next cycle after redirect 855 enqPtrExt := VecInit(enqPtrExt.map(_ - (lastCycleCancelCount + lastEnqCancel))) 856 }.otherwise { 857 enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber)) 858 } 859 860 deqPtrExtNext := deqPtrExt + commitCount 861 deqPtrExt := deqPtrExtNext 862 863 io.lqCancelCnt := RegNext(lastCycleCancelCount + lastEnqCancel) 864 865 /** 866 * misc 867 */ 868 // perf counter 869 QueuePerf(LoadQueueSize, validCount, !allowEnqueue) 870 io.lqFull := !allowEnqueue 871 XSPerfAccumulate("rollback", io.rollback.valid) // rollback redirect generated 872 XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req 873 XSPerfAccumulate("mmioCnt", io.uncache.req.fire()) 874 XSPerfAccumulate("refill", io.refill.valid) 875 XSPerfAccumulate("writeback_success", PopCount(VecInit(io.ldout.map(i => i.fire())))) 876 XSPerfAccumulate("writeback_blocked", PopCount(VecInit(io.ldout.map(i => i.valid && !i.ready)))) 877 XSPerfAccumulate("utilization_miss", PopCount((0 until LoadQueueSize).map(i => allocated(i) && miss(i)))) 878 879 if (env.EnableTopDown) { 880 val stall_loads_bound = WireDefault(0.B) 881 ExcitingUtils.addSink(stall_loads_bound, "stall_loads_bound", ExcitingUtils.Perf) 882 val have_miss_entry = (allocated zip miss).map(x => x._1 && x._2).reduce(_ || _) 883 val l1d_loads_bound = stall_loads_bound && !have_miss_entry 884 ExcitingUtils.addSource(l1d_loads_bound, "l1d_loads_bound", ExcitingUtils.Perf) 885 XSPerfAccumulate("l1d_loads_bound", l1d_loads_bound) 886 val stall_l1d_load_miss = stall_loads_bound && have_miss_entry 887 ExcitingUtils.addSource(stall_l1d_load_miss, "stall_l1d_load_miss", ExcitingUtils.Perf) 888 ExcitingUtils.addSink(WireInit(0.U), "stall_l1d_load_miss", ExcitingUtils.Perf) 889 } 890 891 val perfValidCount = RegNext(validCount) 892 893 val perfEvents = Seq( 894 ("rollback ", io.rollback.valid), 895 ("mmioCycle ", uncacheState =/= s_idle), 896 ("mmio_Cnt ", io.uncache.req.fire()), 897 ("refill ", io.refill.valid), 898 ("writeback_success", PopCount(VecInit(io.ldout.map(i => i.fire())))), 899 ("writeback_blocked", PopCount(VecInit(io.ldout.map(i => i.valid && !i.ready)))), 900 ("ltq_1_4_valid ", (perfValidCount < (LoadQueueSize.U/4.U))), 901 ("ltq_2_4_valid ", (perfValidCount > (LoadQueueSize.U/4.U)) & (perfValidCount <= (LoadQueueSize.U/2.U))), 902 ("ltq_3_4_valid ", (perfValidCount > (LoadQueueSize.U/2.U)) & (perfValidCount <= (LoadQueueSize.U*3.U/4.U))), 903 ("ltq_4_4_valid ", (perfValidCount > (LoadQueueSize.U*3.U/4.U))) 904 ) 905 generatePerfEvent() 906 907 // debug info 908 XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt.flag, deqPtr) 909 910 def PrintFlag(flag: Bool, name: String): Unit = { 911 when(flag) { 912 XSDebug(false, true.B, name) 913 }.otherwise { 914 XSDebug(false, true.B, " ") 915 } 916 } 917 918 for (i <- 0 until LoadQueueSize) { 919 XSDebug(i + " pc %x pa %x ", uop(i).cf.pc, debug_paddr(i)) 920 PrintFlag(allocated(i), "a") 921 PrintFlag(allocated(i) && datavalid(i), "v") 922 PrintFlag(allocated(i) && writebacked(i), "w") 923 PrintFlag(allocated(i) && miss(i), "m") 924 PrintFlag(allocated(i) && pending(i), "p") 925 XSDebug(false, true.B, "\n") 926 } 927 928} 929