1package xiangshan.mem 2 3import chisel3._ 4import chisel3.util._ 5import freechips.rocketchip.tile.HasFPUParameters 6import utils._ 7import xiangshan._ 8import xiangshan.cache._ 9import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants, TlbRequestIO} 10import xiangshan.backend.LSUOpType 11import xiangshan.mem._ 12import xiangshan.backend.roq.RoqPtr 13import xiangshan.backend.fu.HasExceptionNO 14 15 16class LqPtr extends CircularQueuePtr(LqPtr.LoadQueueSize) { } 17 18object LqPtr extends HasXSParameter { 19 def apply(f: Bool, v: UInt): LqPtr = { 20 val ptr = Wire(new LqPtr) 21 ptr.flag := f 22 ptr.value := v 23 ptr 24 } 25} 26 27trait HasLoadHelper { this: XSModule => 28 def rdataHelper(uop: MicroOp, rdata: UInt): UInt = { 29 val fpWen = uop.ctrl.fpWen 30 LookupTree(uop.ctrl.fuOpType, List( 31 LSUOpType.lb -> SignExt(rdata(7, 0) , XLEN), 32 LSUOpType.lh -> SignExt(rdata(15, 0), XLEN), 33 LSUOpType.lw -> Mux(fpWen, rdata, SignExt(rdata(31, 0), XLEN)), 34 LSUOpType.ld -> Mux(fpWen, rdata, SignExt(rdata(63, 0), XLEN)), 35 LSUOpType.lbu -> ZeroExt(rdata(7, 0) , XLEN), 36 LSUOpType.lhu -> ZeroExt(rdata(15, 0), XLEN), 37 LSUOpType.lwu -> ZeroExt(rdata(31, 0), XLEN), 38 )) 39 } 40 41 def fpRdataHelper(uop: MicroOp, rdata: UInt): UInt = { 42 LookupTree(uop.ctrl.fuOpType, List( 43 LSUOpType.lw -> recode(rdata(31, 0), S), 44 LSUOpType.ld -> recode(rdata(63, 0), D) 45 )) 46 } 47} 48 49class LqEnqIO extends XSBundle { 50 val canAccept = Output(Bool()) 51 val sqCanAccept = Input(Bool()) 52 val needAlloc = Vec(RenameWidth, Input(Bool())) 53 val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 54 val resp = Vec(RenameWidth, Output(new LqPtr)) 55} 56 57// Load Queue 58class LoadQueue extends XSModule 59 with HasDCacheParameters 60 with HasCircularQueuePtrHelper 61 with HasLoadHelper 62 with HasExceptionNO 63{ 64 val io = IO(new Bundle() { 65 val enq = new LqEnqIO 66 val brqRedirect = Input(Valid(new Redirect)) 67 val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 68 val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 69 val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load 70 val load_s1 = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO)) 71 val commits = Flipped(new RoqCommitIO) 72 val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store 73 val dcache = Flipped(ValidIO(new Refill)) 74 val uncache = new DCacheWordIO 75 val roqDeqPtr = Input(new RoqPtr) 76 val exceptionAddr = new ExceptionAddrIO 77 }) 78 79 val uop = Reg(Vec(LoadQueueSize, new MicroOp)) 80 // val data = Reg(Vec(LoadQueueSize, new LsRoqEntry)) 81 val dataModule = Module(new LoadQueueData(LoadQueueSize, wbNumRead = LoadPipelineWidth, wbNumWrite = LoadPipelineWidth)) 82 dataModule.io := DontCare 83 val vaddrModule = Module(new AsyncDataModuleTemplate(UInt(VAddrBits.W), LoadQueueSize, numRead = 1, numWrite = LoadPipelineWidth)) 84 vaddrModule.io := DontCare 85 val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated 86 val datavalid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid 87 val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB 88 val commited = Reg(Vec(LoadQueueSize, Bool())) // inst has been writebacked to CDB 89 val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request 90 // val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result 91 val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq 92 93 val debug_mmio = Reg(Vec(LoadQueueSize, Bool())) // mmio: inst is an mmio inst 94 95 val enqPtrExt = RegInit(VecInit((0 until RenameWidth).map(_.U.asTypeOf(new LqPtr)))) 96 val deqPtrExt = RegInit(0.U.asTypeOf(new LqPtr)) 97 val validCounter = RegInit(0.U(log2Ceil(LoadQueueSize + 1).W)) 98 val allowEnqueue = RegInit(true.B) 99 100 val enqPtr = enqPtrExt(0).value 101 val deqPtr = deqPtrExt.value 102 val sameFlag = enqPtrExt(0).flag === deqPtrExt.flag 103 val isEmpty = enqPtr === deqPtr && sameFlag 104 val isFull = enqPtr === deqPtr && !sameFlag 105 val allowIn = !isFull 106 107 val loadCommit = (0 until CommitWidth).map(i => io.commits.valid(i) && !io.commits.isWalk && io.commits.info(i).commitType === CommitType.LOAD) 108 val mcommitIdx = (0 until CommitWidth).map(i => io.commits.info(i).lqIdx.value) 109 110 val deqMask = UIntToMask(deqPtr, LoadQueueSize) 111 val enqMask = UIntToMask(enqPtr, LoadQueueSize) 112 113 /** 114 * Enqueue at dispatch 115 * 116 * Currently, LoadQueue only allows enqueue when #emptyEntries > RenameWidth(EnqWidth) 117 */ 118 io.enq.canAccept := allowEnqueue 119 120 for (i <- 0 until RenameWidth) { 121 val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i)) 122 val lqIdx = enqPtrExt(offset) 123 val index = lqIdx.value 124 when (io.enq.req(i).valid && io.enq.canAccept && io.enq.sqCanAccept && !io.brqRedirect.valid) { 125 uop(index) := io.enq.req(i).bits 126 allocated(index) := true.B 127 datavalid(index) := false.B 128 writebacked(index) := false.B 129 commited(index) := false.B 130 miss(index) := false.B 131 // listening(index) := false.B 132 pending(index) := false.B 133 } 134 io.enq.resp(i) := lqIdx 135 } 136 XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n") 137 138 /** 139 * Writeback load from load units 140 * 141 * Most load instructions writeback to regfile at the same time. 142 * However, 143 * (1) For an mmio instruction with exceptions, it writes back to ROB immediately. 144 * (2) For an mmio instruction without exceptions, it does not write back. 145 * The mmio instruction will be sent to lower level when it reaches ROB's head. 146 * After uncache response, it will write back through arbiter with loadUnit. 147 * (3) For cache misses, it is marked miss and sent to dcache later. 148 * After cache refills, it will write back through arbiter with loadUnit. 149 */ 150 for (i <- 0 until LoadPipelineWidth) { 151 dataModule.io.wb.wen(i) := false.B 152 vaddrModule.io.wen(i) := false.B 153 when(io.loadIn(i).fire()) { 154 when(io.loadIn(i).bits.miss) { 155 XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 156 io.loadIn(i).bits.uop.lqIdx.asUInt, 157 io.loadIn(i).bits.uop.cf.pc, 158 io.loadIn(i).bits.vaddr, 159 io.loadIn(i).bits.paddr, 160 io.loadIn(i).bits.data, 161 io.loadIn(i).bits.mask, 162 io.loadIn(i).bits.forwardData.asUInt, 163 io.loadIn(i).bits.forwardMask.asUInt, 164 io.loadIn(i).bits.mmio 165 ) 166 }.otherwise { 167 XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n", 168 io.loadIn(i).bits.uop.lqIdx.asUInt, 169 io.loadIn(i).bits.uop.cf.pc, 170 io.loadIn(i).bits.vaddr, 171 io.loadIn(i).bits.paddr, 172 io.loadIn(i).bits.data, 173 io.loadIn(i).bits.mask, 174 io.loadIn(i).bits.forwardData.asUInt, 175 io.loadIn(i).bits.forwardMask.asUInt, 176 io.loadIn(i).bits.mmio 177 ) 178 } 179 val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value 180 datavalid(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 181 writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 182 183 val loadWbData = Wire(new LQDataEntry) 184 loadWbData.paddr := io.loadIn(i).bits.paddr 185 loadWbData.mask := io.loadIn(i).bits.mask 186 loadWbData.data := io.loadIn(i).bits.data // fwd data 187 loadWbData.fwdMask := io.loadIn(i).bits.forwardMask 188 dataModule.io.wbWrite(i, loadWbIndex, loadWbData) 189 dataModule.io.wb.wen(i) := true.B 190 191 vaddrModule.io.waddr(i) := loadWbIndex 192 vaddrModule.io.wdata(i) := io.loadIn(i).bits.vaddr 193 vaddrModule.io.wen(i) := true.B 194 195 debug_mmio(loadWbIndex) := io.loadIn(i).bits.mmio 196 197 val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio 198 miss(loadWbIndex) := dcacheMissed 199 pending(loadWbIndex) := io.loadIn(i).bits.mmio 200 } 201 } 202 203 /** 204 * Cache miss request 205 * 206 * (1) writeback: miss 207 * (2) send to dcache: listing 208 * (3) dcache response: datavalid 209 * (4) writeback to ROB: writeback 210 */ 211 // val inflightReqs = RegInit(VecInit(Seq.fill(cfg.nLoadMissEntries)(0.U.asTypeOf(new InflightBlockInfo)))) 212 // val inflightReqFull = inflightReqs.map(req => req.valid).reduce(_&&_) 213 // val reqBlockIndex = PriorityEncoder(~VecInit(inflightReqs.map(req => req.valid)).asUInt) 214 215 // val missRefillSelVec = VecInit( 216 // (0 until LoadQueueSize).map{ i => 217 // val inflight = inflightReqs.map(req => req.valid && req.block_addr === get_block_addr(dataModule.io.rdata(i).paddr)).reduce(_||_) 218 // allocated(i) && miss(i) && !inflight 219 // }) 220 221 // val missRefillSel = getFirstOne(missRefillSelVec, deqMask) 222 // val missRefillBlockAddr = get_block_addr(dataModule.io.rdata(missRefillSel).paddr) 223 // io.dcache.req.valid := missRefillSelVec.asUInt.orR 224 // io.dcache.req.bits.cmd := MemoryOpConstants.M_XRD 225 // io.dcache.req.bits.addr := missRefillBlockAddr 226 // io.dcache.req.bits.data := DontCare 227 // io.dcache.req.bits.mask := DontCare 228 229 // io.dcache.req.bits.meta.id := DontCare 230 // io.dcache.req.bits.meta.vaddr := DontCare // dataModule.io.rdata(missRefillSel).vaddr 231 // io.dcache.req.bits.meta.paddr := missRefillBlockAddr 232 // io.dcache.req.bits.meta.uop := uop(missRefillSel) 233 // io.dcache.req.bits.meta.mmio := false.B // dataModule.io.rdata(missRefillSel).mmio 234 // io.dcache.req.bits.meta.tlb_miss := false.B 235 // io.dcache.req.bits.meta.mask := DontCare 236 // io.dcache.req.bits.meta.replay := false.B 237 238 // assert(!(dataModule.io.rdata(missRefillSel).mmio && io.dcache.req.valid)) 239 240 // when(io.dcache.req.fire()) { 241 // miss(missRefillSel) := false.B 242 // listening(missRefillSel) := true.B 243 244 // mark this block as inflight 245 // inflightReqs(reqBlockIndex).valid := true.B 246 // inflightReqs(reqBlockIndex).block_addr := missRefillBlockAddr 247 // assert(!inflightReqs(reqBlockIndex).valid) 248 // } 249 250 // when(io.dcache.resp.fire()) { 251 // val inflight = inflightReqs.map(req => req.valid && req.block_addr === get_block_addr(io.dcache.resp.bits.meta.paddr)).reduce(_||_) 252 // assert(inflight) 253 // for (i <- 0 until cfg.nLoadMissEntries) { 254 // when (inflightReqs(i).valid && inflightReqs(i).block_addr === get_block_addr(io.dcache.resp.bits.meta.paddr)) { 255 // inflightReqs(i).valid := false.B 256 // } 257 // } 258 // } 259 260 261 // when(io.dcache.req.fire()){ 262 // XSDebug("miss req: pc:0x%x roqIdx:%d lqIdx:%d (p)addr:0x%x vaddr:0x%x\n", 263 // io.dcache.req.bits.meta.uop.cf.pc, io.dcache.req.bits.meta.uop.roqIdx.asUInt, io.dcache.req.bits.meta.uop.lqIdx.asUInt, 264 // io.dcache.req.bits.addr, io.dcache.req.bits.meta.vaddr 265 // ) 266 // } 267 268 when(io.dcache.valid) { 269 XSDebug("miss resp: paddr:0x%x data %x\n", io.dcache.bits.addr, io.dcache.bits.data) 270 } 271 272 // Refill 64 bit in a cycle 273 // Refill data comes back from io.dcache.resp 274 dataModule.io.refill.valid := io.dcache.valid 275 dataModule.io.refill.paddr := io.dcache.bits.addr 276 dataModule.io.refill.data := io.dcache.bits.data 277 278 (0 until LoadQueueSize).map(i => { 279 dataModule.io.refill.refillMask(i) := allocated(i) && miss(i) 280 when(dataModule.io.refill.valid && dataModule.io.refill.refillMask(i) && dataModule.io.refill.matchMask(i)) { 281 datavalid(i) := true.B 282 miss(i) := false.B 283 } 284 }) 285 286 // Writeback up to 2 missed load insts to CDB 287 // 288 // Pick 2 missed load (data refilled), write them back to cdb 289 // 2 refilled load will be selected from even/odd entry, separately 290 291 // Stage 0 292 // Generate writeback indexes 293 val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => { 294 allocated(i) && !writebacked(i) && datavalid(i) 295 })).asUInt() // use uint instead vec to reduce verilog lines 296 val loadEvenSelVec = VecInit((0 until LoadQueueSize/2).map(i => {loadWbSelVec(2*i)})) 297 val loadOddSelVec = VecInit((0 until LoadQueueSize/2).map(i => {loadWbSelVec(2*i+1)})) 298 val evenDeqMask = VecInit((0 until LoadQueueSize/2).map(i => {deqMask(2*i)})).asUInt 299 val oddDeqMask = VecInit((0 until LoadQueueSize/2).map(i => {deqMask(2*i+1)})).asUInt 300 301 val loadWbSelGen = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) 302 val loadWbSelVGen = Wire(Vec(LoadPipelineWidth, Bool())) 303 loadWbSelGen(0) := Cat(getFirstOne(loadEvenSelVec, evenDeqMask), 0.U(1.W)) 304 loadWbSelVGen(0):= loadEvenSelVec.asUInt.orR 305 loadWbSelGen(1) := Cat(getFirstOne(loadOddSelVec, oddDeqMask), 1.U(1.W)) 306 loadWbSelVGen(1) := loadOddSelVec.asUInt.orR 307 308 val loadWbSel = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) 309 val loadWbSelV = RegInit(VecInit(List.fill(LoadPipelineWidth)(false.B))) 310 (0 until LoadPipelineWidth).map(i => { 311 val canGo = io.ldout(i).fire() || !loadWbSelV(i) 312 val valid = loadWbSelVGen(i) 313 // store selected index in pipeline reg 314 loadWbSel(i) := RegEnable(loadWbSelGen(i), valid && canGo) 315 // Mark them as writebacked, so they will not be selected in the next cycle 316 when(valid && canGo){ 317 writebacked(loadWbSelGen(i)) := true.B 318 } 319 // update loadWbSelValidReg 320 when(io.ldout(i).fire()){ 321 loadWbSelV(i) := false.B 322 } 323 when(valid && canGo){ 324 loadWbSelV(i) := true.B 325 } 326 }) 327 328 // Stage 1 329 // Use indexes generated in cycle 0 to read data 330 // writeback data to cdb 331 (0 until LoadPipelineWidth).map(i => { 332 // data select 333 dataModule.io.wb.raddr(i) := loadWbSel(i) 334 val rdata = dataModule.io.wb.rdata(i).data 335 val seluop = uop(loadWbSel(i)) 336 val func = seluop.ctrl.fuOpType 337 val raddr = dataModule.io.wb.rdata(i).paddr 338 val rdataSel = LookupTree(raddr(2, 0), List( 339 "b000".U -> rdata(63, 0), 340 "b001".U -> rdata(63, 8), 341 "b010".U -> rdata(63, 16), 342 "b011".U -> rdata(63, 24), 343 "b100".U -> rdata(63, 32), 344 "b101".U -> rdata(63, 40), 345 "b110".U -> rdata(63, 48), 346 "b111".U -> rdata(63, 56) 347 )) 348 val rdataPartialLoad = rdataHelper(seluop, rdataSel) 349 350 // writeback missed int/fp load 351 // 352 // Int load writeback will finish (if not blocked) in one cycle 353 io.ldout(i).bits.uop := seluop 354 io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr) 355 io.ldout(i).bits.data := rdataPartialLoad 356 io.ldout(i).bits.redirectValid := false.B 357 io.ldout(i).bits.redirect := DontCare 358 io.ldout(i).bits.brUpdate := DontCare 359 io.ldout(i).bits.debug.isMMIO := debug_mmio(loadWbSel(i)) 360 io.ldout(i).bits.debug.isPerfCnt := false.B 361 io.ldout(i).bits.fflags := DontCare 362 io.ldout(i).valid := loadWbSelV(i) 363 364 when(io.ldout(i).fire()) { 365 XSInfo("int load miss write to cbd roqidx %d lqidx %d pc 0x%x paddr %x data %x mmio %x\n", 366 io.ldout(i).bits.uop.roqIdx.asUInt, 367 io.ldout(i).bits.uop.lqIdx.asUInt, 368 io.ldout(i).bits.uop.cf.pc, 369 dataModule.io.debug(loadWbSel(i)).paddr, 370 dataModule.io.debug(loadWbSel(i)).data, 371 debug_mmio(loadWbSel(i)) 372 ) 373 } 374 375 }) 376 377 /** 378 * Load commits 379 * 380 * When load commited, mark it as !allocated and move deqPtrExt forward. 381 */ 382 (0 until CommitWidth).map(i => { 383 when(loadCommit(i)) { 384 allocated(mcommitIdx(i)) := false.B 385 XSDebug("load commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc) 386 } 387 }) 388 389 def getFirstOne(mask: Vec[Bool], startMask: UInt) = { 390 val length = mask.length 391 val highBits = (0 until length).map(i => mask(i) & ~startMask(i)) 392 val highBitsUint = Cat(highBits.reverse) 393 PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt)) 394 } 395 396 def getOldestInTwo(valid: Seq[Bool], uop: Seq[MicroOp]) = { 397 assert(valid.length == uop.length) 398 assert(valid.length == 2) 399 Mux(valid(0) && valid(1), 400 Mux(isAfter(uop(0).roqIdx, uop(1).roqIdx), uop(1), uop(0)), 401 Mux(valid(0) && !valid(1), uop(0), uop(1))) 402 } 403 404 def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = { 405 assert(valid.length == uop.length) 406 val length = valid.length 407 (0 until length).map(i => { 408 (0 until length).map(j => { 409 Mux(valid(i) && valid(j), 410 isAfter(uop(i).roqIdx, uop(j).roqIdx), 411 Mux(!valid(i), true.B, false.B)) 412 }) 413 }) 414 } 415 416 /** 417 * Memory violation detection 418 * 419 * When store writes back, it searches LoadQueue for younger load instructions 420 * with the same load physical address. They loaded wrong data and need re-execution. 421 * 422 * Cycle 0: Store Writeback 423 * Generate match vector for store address with rangeMask(stPtr, enqPtr). 424 * Besides, load instructions in LoadUnit_S1 and S2 are also checked. 425 * Cycle 1: Redirect Generation 426 * There're three possible types of violations. Choose the oldest load. 427 * Set io.redirect according to the detected violation. 428 */ 429 io.load_s1 := DontCare 430 def detectRollback(i: Int) = { 431 val startIndex = io.storeIn(i).bits.uop.lqIdx.value 432 val lqIdxMask = UIntToMask(startIndex, LoadQueueSize) 433 val xorMask = lqIdxMask ^ enqMask 434 val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === enqPtrExt(0).flag 435 val toEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask) 436 437 // check if load already in lq needs to be rolledback 438 dataModule.io.violation(i).paddr := io.storeIn(i).bits.paddr 439 dataModule.io.violation(i).mask := io.storeIn(i).bits.mask 440 val addrMaskMatch = RegNext(dataModule.io.violation(i).violationMask) 441 val entryNeedCheck = RegNext(VecInit((0 until LoadQueueSize).map(j => { 442 allocated(j) && toEnqPtrMask(j) && (datavalid(j) || miss(j)) 443 }))) 444 val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => { 445 addrMaskMatch(j) && entryNeedCheck(j) 446 })) 447 val lqViolation = lqViolationVec.asUInt().orR() 448 val lqViolationIndex = getFirstOne(lqViolationVec, RegNext(lqIdxMask)) 449 val lqViolationUop = uop(lqViolationIndex) 450 // lqViolationUop.lqIdx.flag := deqMask(lqViolationIndex) ^ deqPtrExt.flag 451 // lqViolationUop.lqIdx.value := lqViolationIndex 452 XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n") 453 454 // when l/s writeback to roq together, check if rollback is needed 455 val wbViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 456 io.loadIn(j).valid && 457 isAfter(io.loadIn(j).bits.uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) && 458 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) && 459 (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR 460 }))) 461 val wbViolation = wbViolationVec.asUInt().orR() 462 val wbViolationUop = getOldestInTwo(wbViolationVec, RegNext(VecInit(io.loadIn.map(_.bits.uop)))) 463 XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n") 464 465 // check if rollback is needed for load in l1 466 val l1ViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => { 467 io.load_s1(j).valid && // L1 valid 468 isAfter(io.load_s1(j).uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) && 469 io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.load_s1(j).paddr(PAddrBits - 1, 3) && 470 (io.storeIn(i).bits.mask & io.load_s1(j).mask).orR 471 }))) 472 val l1Violation = l1ViolationVec.asUInt().orR() 473 val l1ViolationUop = getOldestInTwo(l1ViolationVec, RegNext(VecInit(io.load_s1.map(_.uop)))) 474 XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n") 475 476 val rollbackValidVec = Seq(lqViolation, wbViolation, l1Violation) 477 val rollbackUopVec = Seq(lqViolationUop, wbViolationUop, l1ViolationUop) 478 479 val mask = getAfterMask(rollbackValidVec, rollbackUopVec) 480 val oneAfterZero = mask(1)(0) 481 val rollbackUop = Mux(oneAfterZero && mask(2)(0), 482 rollbackUopVec(0), 483 Mux(!oneAfterZero && mask(2)(1), rollbackUopVec(1), rollbackUopVec(2))) 484 485 XSDebug( 486 l1Violation, 487 "need rollback (l4 load) pc %x roqidx %d target %x\n", 488 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, l1ViolationUop.roqIdx.asUInt 489 ) 490 XSDebug( 491 lqViolation, 492 "need rollback (ld wb before store) pc %x roqidx %d target %x\n", 493 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, lqViolationUop.roqIdx.asUInt 494 ) 495 XSDebug( 496 wbViolation, 497 "need rollback (ld/st wb together) pc %x roqidx %d target %x\n", 498 io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, wbViolationUop.roqIdx.asUInt 499 ) 500 501 (RegNext(io.storeIn(i).valid) && Cat(rollbackValidVec).orR, rollbackUop) 502 } 503 504 // rollback check 505 val rollback = Wire(Vec(StorePipelineWidth, Valid(new MicroOp))) 506 for (i <- 0 until StorePipelineWidth) { 507 val detectedRollback = detectRollback(i) 508 rollback(i).valid := detectedRollback._1 509 rollback(i).bits := detectedRollback._2 510 } 511 512 def rollbackSel(a: Valid[MicroOp], b: Valid[MicroOp]): ValidIO[MicroOp] = { 513 Mux( 514 a.valid, 515 Mux( 516 b.valid, 517 Mux(isAfter(a.bits.roqIdx, b.bits.roqIdx), b, a), // a,b both valid, sel oldest 518 a // sel a 519 ), 520 b // sel b 521 ) 522 } 523 524 val rollbackSelected = ParallelOperation(rollback, rollbackSel) 525 val lastCycleRedirect = RegNext(io.brqRedirect) 526 527 // Note that we use roqIdx - 1.U to flush the load instruction itself. 528 // Thus, here if last cycle's roqIdx equals to this cycle's roqIdx, it still triggers the redirect. 529 io.rollback.valid := rollbackSelected.valid && 530 (!lastCycleRedirect.valid || !isAfter(rollbackSelected.bits.roqIdx, lastCycleRedirect.bits.roqIdx)) && 531 !(lastCycleRedirect.valid && lastCycleRedirect.bits.isUnconditional()) 532 533 io.rollback.bits.roqIdx := rollbackSelected.bits.roqIdx 534 io.rollback.bits.level := RedirectLevel.flush 535 io.rollback.bits.interrupt := DontCare 536 io.rollback.bits.pc := DontCare 537 io.rollback.bits.target := rollbackSelected.bits.cf.pc 538 io.rollback.bits.brTag := rollbackSelected.bits.brTag 539 540 when(io.rollback.valid) { 541 XSDebug("Mem rollback: pc %x roqidx %d\n", io.rollback.bits.pc, io.rollback.bits.roqIdx.asUInt) 542 } 543 544 /** 545 * Memory mapped IO / other uncached operations 546 * 547 */ 548 io.uncache.req.valid := pending(deqPtr) && allocated(deqPtr) && 549 io.commits.info(0).commitType === CommitType.LOAD && 550 io.roqDeqPtr === uop(deqPtr).roqIdx && 551 !io.commits.isWalk 552 553 dataModule.io.uncache.raddr := deqPtr 554 555 io.uncache.req.bits.cmd := MemoryOpConstants.M_XRD 556 io.uncache.req.bits.addr := dataModule.io.uncache.rdata.paddr 557 io.uncache.req.bits.data := dataModule.io.uncache.rdata.data 558 io.uncache.req.bits.mask := dataModule.io.uncache.rdata.mask 559 560 io.uncache.req.bits.id := DontCare 561 562 io.uncache.resp.ready := true.B 563 564 when (io.uncache.req.fire()) { 565 pending(deqPtr) := false.B 566 567 XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n", 568 uop(deqPtr).cf.pc, 569 io.uncache.req.bits.addr, 570 io.uncache.req.bits.data, 571 io.uncache.req.bits.cmd, 572 io.uncache.req.bits.mask 573 ) 574 } 575 576 dataModule.io.uncache.wen := false.B 577 when(io.uncache.resp.fire()){ 578 datavalid(deqPtr) := true.B 579 dataModule.io.uncacheWrite(deqPtr, io.uncache.resp.bits.data(XLEN-1, 0)) 580 dataModule.io.uncache.wen := true.B 581 582 XSDebug("uncache resp: data %x\n", io.dcache.bits.data) 583 } 584 585 // Read vaddr for mem exception 586 vaddrModule.io.raddr(0) := io.exceptionAddr.lsIdx.lqIdx.value 587 io.exceptionAddr.vaddr := vaddrModule.io.rdata(0) 588 589 // misprediction recovery / exception redirect 590 // invalidate lq term using robIdx 591 val needCancel = Wire(Vec(LoadQueueSize, Bool())) 592 for (i <- 0 until LoadQueueSize) { 593 needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i) && !commited(i) 594 when (needCancel(i)) { 595 allocated(i) := false.B 596 } 597 } 598 599 /** 600 * update pointers 601 */ 602 val lastCycleCancelCount = PopCount(RegNext(needCancel)) 603 // when io.brqRedirect.valid, we don't allow eneuque even though it may fire. 604 val enqNumber = Mux(io.enq.canAccept && io.enq.sqCanAccept && !io.brqRedirect.valid, PopCount(io.enq.req.map(_.valid)), 0.U) 605 when (lastCycleRedirect.valid) { 606 // we recover the pointers in the next cycle after redirect 607 enqPtrExt := VecInit(enqPtrExt.map(_ - lastCycleCancelCount)) 608 }.otherwise { 609 enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber)) 610 } 611 612 val commitCount = PopCount(loadCommit) 613 deqPtrExt := deqPtrExt + commitCount 614 615 val lastLastCycleRedirect = RegNext(lastCycleRedirect.valid) 616 val trueValidCounter = distanceBetween(enqPtrExt(0), deqPtrExt) 617 validCounter := Mux(lastLastCycleRedirect, 618 trueValidCounter, 619 validCounter + enqNumber - commitCount 620 ) 621 622 allowEnqueue := Mux(io.brqRedirect.valid, 623 false.B, 624 Mux(lastLastCycleRedirect, 625 trueValidCounter <= (LoadQueueSize - RenameWidth).U, 626 validCounter + enqNumber <= (LoadQueueSize - RenameWidth).U 627 ) 628 ) 629 630 // debug info 631 XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt.flag, deqPtr) 632 633 def PrintFlag(flag: Bool, name: String): Unit = { 634 when(flag) { 635 XSDebug(false, true.B, name) 636 }.otherwise { 637 XSDebug(false, true.B, " ") 638 } 639 } 640 641 for (i <- 0 until LoadQueueSize) { 642 if (i % 4 == 0) XSDebug("") 643 XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.debug(i).paddr) 644 PrintFlag(allocated(i), "a") 645 PrintFlag(allocated(i) && datavalid(i), "v") 646 PrintFlag(allocated(i) && writebacked(i), "w") 647 PrintFlag(allocated(i) && commited(i), "c") 648 PrintFlag(allocated(i) && miss(i), "m") 649 // PrintFlag(allocated(i) && listening(i), "l") 650 PrintFlag(allocated(i) && pending(i), "p") 651 XSDebug(false, true.B, " ") 652 if (i % 4 == 3 || i == LoadQueueSize - 1) XSDebug(false, true.B, "\n") 653 } 654 655} 656