1package xiangshan.mem 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import utils._ 7import xiangshan._ 8import xiangshan.cache._ 9import xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants} 10import xiangshan.mem._ 11import xiangshan.backend.roq.RoqLsqIO 12 13class ExceptionAddrIO(implicit p: Parameters) extends XSBundle { 14 val lsIdx = Input(new LSIdx) 15 val isStore = Input(Bool()) 16 val vaddr = Output(UInt(VAddrBits.W)) 17} 18 19class FwdEntry extends Bundle { 20 val valid = Bool() 21 val data = UInt(8.W) 22} 23 24// inflight miss block reqs 25class InflightBlockInfo(implicit p: Parameters) extends XSBundle { 26 val block_addr = UInt(PAddrBits.W) 27 val valid = Bool() 28} 29 30class LsqEnqIO(implicit p: Parameters) extends XSBundle { 31 val canAccept = Output(Bool()) 32 val needAlloc = Vec(RenameWidth, Input(UInt(2.W))) 33 val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 34 val resp = Vec(RenameWidth, Output(new LSIdx)) 35} 36 37// Load / Store Queue Wrapper for XiangShan Out of Order LSU 38class LsqWrappper(implicit p: Parameters) extends XSModule with HasDCacheParameters { 39 val io = IO(new Bundle() { 40 val enq = new LsqEnqIO 41 val brqRedirect = Flipped(ValidIO(new Redirect)) 42 val flush = Input(Bool()) 43 val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 44 val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 45 val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool())) 46 val needReplayFromRS = Vec(LoadPipelineWidth, Input(Bool())) 47 val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq)) 48 val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load 49 val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store 50 val forward = Vec(LoadPipelineWidth, Flipped(new MaskedLoadForwardQueryIO)) 51 val roq = Flipped(new RoqLsqIO) 52 val rollback = Output(Valid(new Redirect)) 53 val dcache = Flipped(ValidIO(new Refill)) 54 val uncache = new DCacheWordIO 55 val exceptionAddr = new ExceptionAddrIO 56 val sqempty = Output(Bool()) 57 val issuePtrExt = Output(new SqPtr) 58 val storeIssue = Vec(StorePipelineWidth, Flipped(Valid(new ExuInput))) 59 val sqFull = Output(Bool()) 60 val lqFull = Output(Bool()) 61 }) 62 63 val loadQueue = Module(new LoadQueue) 64 val storeQueue = Module(new StoreQueue) 65 66 // io.enq logic 67 // LSQ: send out canAccept when both load queue and store queue are ready 68 // Dispatch: send instructions to LSQ only when they are ready 69 io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 70 loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 71 storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 72 for (i <- 0 until RenameWidth) { 73 loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 74 loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 75 loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 76 77 storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 78 storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 79 storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 80 81 io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 82 io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 83 } 84 85 // load queue wiring 86 loadQueue.io.brqRedirect <> io.brqRedirect 87 loadQueue.io.flush <> io.flush 88 loadQueue.io.loadIn <> io.loadIn 89 loadQueue.io.storeIn <> io.storeIn 90 loadQueue.io.loadDataForwarded <> io.loadDataForwarded 91 loadQueue.io.needReplayFromRS <> io.needReplayFromRS 92 loadQueue.io.ldout <> io.ldout 93 loadQueue.io.roq <> io.roq 94 loadQueue.io.rollback <> io.rollback 95 loadQueue.io.dcache <> io.dcache 96 loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 97 loadQueue.io.exceptionAddr.isStore := DontCare 98 99 // store queue wiring 100 // storeQueue.io <> DontCare 101 storeQueue.io.brqRedirect <> io.brqRedirect 102 storeQueue.io.flush <> io.flush 103 storeQueue.io.storeIn <> io.storeIn 104 storeQueue.io.sbuffer <> io.sbuffer 105 storeQueue.io.mmioStout <> io.mmioStout 106 storeQueue.io.roq <> io.roq 107 storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 108 storeQueue.io.exceptionAddr.isStore := DontCare 109 storeQueue.io.issuePtrExt <> io.issuePtrExt 110 storeQueue.io.storeIssue <> io.storeIssue 111 112 loadQueue.io.load_s1 <> io.forward 113 storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 114 115 storeQueue.io.sqempty <> io.sqempty 116 117 io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 118 119 // naive uncache arbiter 120 val s_idle :: s_load :: s_store :: Nil = Enum(3) 121 val pendingstate = RegInit(s_idle) 122 123 switch(pendingstate){ 124 is(s_idle){ 125 when(io.uncache.req.fire()){ 126 pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, s_store) 127 } 128 } 129 is(s_load){ 130 when(io.uncache.resp.fire()){ 131 pendingstate := s_idle 132 } 133 } 134 is(s_store){ 135 when(io.uncache.resp.fire()){ 136 pendingstate := s_idle 137 } 138 } 139 } 140 141 loadQueue.io.uncache := DontCare 142 storeQueue.io.uncache := DontCare 143 loadQueue.io.uncache.resp.valid := false.B 144 storeQueue.io.uncache.resp.valid := false.B 145 when(loadQueue.io.uncache.req.valid){ 146 io.uncache.req <> loadQueue.io.uncache.req 147 }.otherwise{ 148 io.uncache.req <> storeQueue.io.uncache.req 149 } 150 when(pendingstate === s_load){ 151 io.uncache.resp <> loadQueue.io.uncache.resp 152 }.otherwise{ 153 io.uncache.resp <> storeQueue.io.uncache.resp 154 } 155 156 assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 157 assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 158 assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 159 160 io.lqFull := loadQueue.io.lqFull 161 io.sqFull := storeQueue.io.sqFull 162} 163