xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LSQWrapper.scala (revision c5c06e786cf4158d1678b88a1c35921683573632)
1c7658a75SYinan Xupackage xiangshan.mem
2c7658a75SYinan Xu
3c7658a75SYinan Xuimport chisel3._
4c7658a75SYinan Xuimport chisel3.util._
5c7658a75SYinan Xuimport utils._
6c7658a75SYinan Xuimport xiangshan._
7c7658a75SYinan Xuimport xiangshan.cache._
8c7658a75SYinan Xuimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
9c7658a75SYinan Xuimport xiangshan.backend.LSUOpType
10c7658a75SYinan Xuimport xiangshan.mem._
11c7658a75SYinan Xuimport xiangshan.backend.roq.RoqPtr
12c7658a75SYinan Xu
13c7658a75SYinan Xuclass ExceptionAddrIO extends XSBundle {
14c7658a75SYinan Xu  val lsIdx = Input(new LSIdx)
15c7658a75SYinan Xu  val isStore = Input(Bool())
16c7658a75SYinan Xu  val vaddr = Output(UInt(VAddrBits.W))
17c7658a75SYinan Xu}
18c7658a75SYinan Xu
19c7658a75SYinan Xu
200bd67ba5SYinan Xuclass LsqEntry extends XSBundle {
21c7658a75SYinan Xu  val vaddr = UInt(VAddrBits.W) // TODO: need opt
22c7658a75SYinan Xu  val paddr = UInt(PAddrBits.W)
23c7658a75SYinan Xu  val mask = UInt(8.W)
24c7658a75SYinan Xu  val data = UInt(XLEN.W)
25c7658a75SYinan Xu  val exception = UInt(16.W) // TODO: opt size
26c7658a75SYinan Xu  val mmio = Bool()
27c7658a75SYinan Xu  val fwdMask = Vec(8, Bool())
28c7658a75SYinan Xu  val fwdData = Vec(8, UInt(8.W))
29c7658a75SYinan Xu}
30c7658a75SYinan Xu
31a8179b86SWilliam Wangclass FwdEntry extends XSBundle {
32a8179b86SWilliam Wang  val mask = Vec(8, Bool())
33a8179b86SWilliam Wang  val data = Vec(8, UInt(8.W))
34a8179b86SWilliam Wang}
35a8179b86SWilliam Wang
36eb8f00f4SWilliam Wang
37eb8f00f4SWilliam Wangclass LSQueueData(size: Int, nchannel: Int) extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper {
38eb8f00f4SWilliam Wang  val io = IO(new Bundle() {
39eb8f00f4SWilliam Wang    val wb = Vec(nchannel, new Bundle() {
40eb8f00f4SWilliam Wang      val wen = Input(Bool())
41eb8f00f4SWilliam Wang      val index = Input(UInt(log2Up(size).W))
426161a0eeSWilliam Wang      val wdata = Input(new LsqEntry)
43eb8f00f4SWilliam Wang    })
44eb8f00f4SWilliam Wang    val uncache = new Bundle() {
45eb8f00f4SWilliam Wang      val wen = Input(Bool())
46eb8f00f4SWilliam Wang      val index = Input(UInt(log2Up(size).W))
47eb8f00f4SWilliam Wang      val wdata = Input(UInt(XLEN.W))
48eb8f00f4SWilliam Wang    }
49eb8f00f4SWilliam Wang    val refill = new Bundle() {
50eb8f00f4SWilliam Wang      val wen = Input(Vec(size, Bool()))
51eb8f00f4SWilliam Wang      val dcache = Input(new DCacheLineResp)
52eb8f00f4SWilliam Wang    }
53eb8f00f4SWilliam Wang    val needForward = Input(Vec(nchannel, Vec(2, UInt(size.W))))
54eb8f00f4SWilliam Wang    val forward = Vec(nchannel, Flipped(new LoadForwardQueryIO))
556161a0eeSWilliam Wang    val rdata = Output(Vec(size, new LsqEntry))
56eb8f00f4SWilliam Wang
57eb8f00f4SWilliam Wang    // val debug = new Bundle() {
586161a0eeSWilliam Wang    //   val debug_data = Vec(LoadQueueSize, new LsqEntry)
59eb8f00f4SWilliam Wang    // }
60eb8f00f4SWilliam Wang
616161a0eeSWilliam Wang    def wbWrite(channel: Int, index: UInt, wdata: LsqEntry): Unit = {
62eb8f00f4SWilliam Wang      require(channel < nchannel && channel >= 0)
63eb8f00f4SWilliam Wang      // need extra "this.wb(channel).wen := true.B"
64eb8f00f4SWilliam Wang      this.wb(channel).index := index
65eb8f00f4SWilliam Wang      this.wb(channel).wdata := wdata
66eb8f00f4SWilliam Wang    }
67eb8f00f4SWilliam Wang
68eb8f00f4SWilliam Wang    def uncacheWrite(index: UInt, wdata: UInt): Unit = {
69eb8f00f4SWilliam Wang      // need extra "this.uncache.wen := true.B"
70eb8f00f4SWilliam Wang      this.uncache.index := index
71eb8f00f4SWilliam Wang      this.uncache.wdata := wdata
72eb8f00f4SWilliam Wang    }
73eb8f00f4SWilliam Wang
74eb8f00f4SWilliam Wang    def forwardQuery(channel: Int, paddr: UInt, needForward1: Data, needForward2: Data): Unit = {
75eb8f00f4SWilliam Wang      this.needForward(channel)(0) := needForward1
76eb8f00f4SWilliam Wang      this.needForward(channel)(1) := needForward2
77eb8f00f4SWilliam Wang      this.forward(channel).paddr := paddr
78eb8f00f4SWilliam Wang    }
79eb8f00f4SWilliam Wang
80eb8f00f4SWilliam Wang    // def refillWrite(ldIdx: Int): Unit = {
81eb8f00f4SWilliam Wang    // }
82eb8f00f4SWilliam Wang    // use "this.refill.wen(ldIdx) := true.B" instead
83eb8f00f4SWilliam Wang  })
84eb8f00f4SWilliam Wang
85eb8f00f4SWilliam Wang  io := DontCare
86eb8f00f4SWilliam Wang
876161a0eeSWilliam Wang  val data = Reg(Vec(size, new LsqEntry))
88eb8f00f4SWilliam Wang
89eb8f00f4SWilliam Wang  // writeback to lq/sq
90eb8f00f4SWilliam Wang  (0 until 2).map(i => {
91eb8f00f4SWilliam Wang    when(io.wb(i).wen){
92eb8f00f4SWilliam Wang      data(io.wb(i).index) := io.wb(i).wdata
93eb8f00f4SWilliam Wang    }
94eb8f00f4SWilliam Wang  })
95eb8f00f4SWilliam Wang
96eb8f00f4SWilliam Wang  when(io.uncache.wen){
97eb8f00f4SWilliam Wang    data(io.uncache.index).data := io.uncache.wdata
98eb8f00f4SWilliam Wang  }
99eb8f00f4SWilliam Wang
100eb8f00f4SWilliam Wang  // refill missed load
101eb8f00f4SWilliam Wang  def mergeRefillData(refill: UInt, fwd: UInt, fwdMask: UInt): UInt = {
102eb8f00f4SWilliam Wang    val res = Wire(Vec(8, UInt(8.W)))
103eb8f00f4SWilliam Wang    (0 until 8).foreach(i => {
104eb8f00f4SWilliam Wang      res(i) := Mux(fwdMask(i), fwd(8 * (i + 1) - 1, 8 * i), refill(8 * (i + 1) - 1, 8 * i))
105eb8f00f4SWilliam Wang    })
106eb8f00f4SWilliam Wang    res.asUInt
107eb8f00f4SWilliam Wang  }
108eb8f00f4SWilliam Wang
109eb8f00f4SWilliam Wang  // split dcache result into words
110eb8f00f4SWilliam Wang  val words = VecInit((0 until blockWords) map { i =>
111eb8f00f4SWilliam Wang    io.refill.dcache.data(DataBits * (i + 1) - 1, DataBits * i)
112eb8f00f4SWilliam Wang  })
113eb8f00f4SWilliam Wang
114eb8f00f4SWilliam Wang
115eb8f00f4SWilliam Wang  (0 until size).map(i => {
116eb8f00f4SWilliam Wang    when(io.refill.wen(i) ){
117eb8f00f4SWilliam Wang      val refillData = words(get_word(data(i).paddr))
118eb8f00f4SWilliam Wang      data(i).data := mergeRefillData(refillData, data(i).fwdData.asUInt, data(i).fwdMask.asUInt)
119eb8f00f4SWilliam Wang      XSDebug("miss resp: pos %d addr %x data %x + %x(%b)\n", i.U, data(i).paddr, refillData, data(i).fwdData.asUInt, data(i).fwdMask.asUInt)
120eb8f00f4SWilliam Wang    }
121eb8f00f4SWilliam Wang  })
122eb8f00f4SWilliam Wang
123eb8f00f4SWilliam Wang  // forwarding
124eb8f00f4SWilliam Wang  // Compare ringBufferTail (deqPtr) and forward.sqIdx, we have two cases:
125eb8f00f4SWilliam Wang  // (1) if they have the same flag, we need to check range(tail, sqIdx)
126eb8f00f4SWilliam Wang  // (2) if they have different flags, we need to check range(tail, LoadQueueSize) and range(0, sqIdx)
127eb8f00f4SWilliam Wang  // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, LoadQueueSize))
128eb8f00f4SWilliam Wang  // Forward2: Mux(same_flag, 0.U,                   range(0, sqIdx)    )
129eb8f00f4SWilliam Wang  // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise
130eb8f00f4SWilliam Wang
131eb8f00f4SWilliam Wang  // entry with larger index should have higher priority since it's data is younger
132a8179b86SWilliam Wang
133a8179b86SWilliam Wang  // FIXME: old fwd logic for assertion, remove when rtl freeze
134eb8f00f4SWilliam Wang  (0 until nchannel).map(i => {
135eb8f00f4SWilliam Wang
136eb8f00f4SWilliam Wang    val forwardMask1 = WireInit(VecInit(Seq.fill(8)(false.B)))
137eb8f00f4SWilliam Wang    val forwardData1 = WireInit(VecInit(Seq.fill(8)(0.U(8.W))))
138eb8f00f4SWilliam Wang    val forwardMask2 = WireInit(VecInit(Seq.fill(8)(false.B)))
139eb8f00f4SWilliam Wang    val forwardData2 = WireInit(VecInit(Seq.fill(8)(0.U(8.W))))
140eb8f00f4SWilliam Wang
141eb8f00f4SWilliam Wang    for (j <- 0 until size) {
142eb8f00f4SWilliam Wang      val needCheck = io.forward(i).paddr(PAddrBits - 1, 3) === data(j).paddr(PAddrBits - 1, 3)
143eb8f00f4SWilliam Wang      (0 until XLEN / 8).foreach(k => {
144eb8f00f4SWilliam Wang        when (needCheck && data(j).mask(k)) {
145eb8f00f4SWilliam Wang          when (io.needForward(i)(0)(j)) {
146eb8f00f4SWilliam Wang            forwardMask1(k) := true.B
147eb8f00f4SWilliam Wang            forwardData1(k) := data(j).data(8 * (k + 1) - 1, 8 * k)
148eb8f00f4SWilliam Wang          }
149eb8f00f4SWilliam Wang          when (io.needForward(i)(1)(j)) {
150eb8f00f4SWilliam Wang            forwardMask2(k) := true.B
151eb8f00f4SWilliam Wang            forwardData2(k) := data(j).data(8 * (k + 1) - 1, 8 * k)
152eb8f00f4SWilliam Wang          }
153eb8f00f4SWilliam Wang          XSDebug(io.needForward(i)(0)(j) || io.needForward(i)(1)(j),
154eb8f00f4SWilliam Wang            p"forwarding $k-th byte ${Hexadecimal(data(j).data(8 * (k + 1) - 1, 8 * k))} " +
155eb8f00f4SWilliam Wang            p"from ptr $j\n")
156eb8f00f4SWilliam Wang        }
157eb8f00f4SWilliam Wang      })
158eb8f00f4SWilliam Wang    }
159eb8f00f4SWilliam Wang
160eb8f00f4SWilliam Wang    // merge forward lookup results
161eb8f00f4SWilliam Wang    // forward2 is younger than forward1 and should have higher priority
162a8179b86SWilliam Wang    val oldFwdResult = Wire(new FwdEntry)
163eb8f00f4SWilliam Wang    (0 until XLEN / 8).map(k => {
164a8179b86SWilliam Wang      oldFwdResult.mask(k) := RegNext(forwardMask1(k) || forwardMask2(k))
165a8179b86SWilliam Wang      oldFwdResult.data(k) := RegNext(Mux(forwardMask2(k), forwardData2(k), forwardData1(k)))
166eb8f00f4SWilliam Wang    })
167a8179b86SWilliam Wang
168a8179b86SWilliam Wang    // parallel fwd logic
1696204b905SWilliam Wang    val paddrMatch = Wire(Vec(size, Bool()))
170a8179b86SWilliam Wang    val matchResultVec = Wire(Vec(size * 2, new FwdEntry))
171a8179b86SWilliam Wang
172a8179b86SWilliam Wang    def parallelFwd(xs: Seq[Data]): Data = {
173a8179b86SWilliam Wang      ParallelOperation(xs, (a: Data, b: Data) => {
174a8179b86SWilliam Wang        val l = a.asTypeOf(new FwdEntry)
175a8179b86SWilliam Wang        val r = b.asTypeOf(new FwdEntry)
176a8179b86SWilliam Wang        val res = Wire(new FwdEntry)
177a8179b86SWilliam Wang        (0 until 8).map(p => {
178a8179b86SWilliam Wang          res.mask(p) := l.mask(p) || r.mask(p)
179a8179b86SWilliam Wang          res.data(p) := Mux(r.mask(p), r.data(p), l.data(p))
180a8179b86SWilliam Wang        })
181a8179b86SWilliam Wang        res
182a8179b86SWilliam Wang      })
183a8179b86SWilliam Wang    }
184a8179b86SWilliam Wang
185a8179b86SWilliam Wang    for (j <- 0 until size) {
1866204b905SWilliam Wang      paddrMatch(j) := io.forward(i).paddr(PAddrBits - 1, 3) === data(j).paddr(PAddrBits - 1, 3)
1876204b905SWilliam Wang    }
1886204b905SWilliam Wang
1896204b905SWilliam Wang    for (j <- 0 until size) {
1906204b905SWilliam Wang      val needCheck0 = RegNext(paddrMatch(j) && io.needForward(i)(0)(j))
1916204b905SWilliam Wang      val needCheck1 = RegNext(paddrMatch(j) && io.needForward(i)(1)(j))
192a8179b86SWilliam Wang      (0 until XLEN / 8).foreach(k => {
1936204b905SWilliam Wang        matchResultVec(j).mask(k) := needCheck0 && data(j).mask(k)
194a8179b86SWilliam Wang        matchResultVec(j).data(k) := data(j).data(8 * (k + 1) - 1, 8 * k)
1956204b905SWilliam Wang        matchResultVec(size + j).mask(k) := needCheck1 && data(j).mask(k)
196a8179b86SWilliam Wang        matchResultVec(size + j).data(k) := data(j).data(8 * (k + 1) - 1, 8 * k)
197a8179b86SWilliam Wang      })
198a8179b86SWilliam Wang    }
199a8179b86SWilliam Wang
2006204b905SWilliam Wang    val parallelFwdResult = parallelFwd(matchResultVec).asTypeOf(new FwdEntry)
201a8179b86SWilliam Wang
202a8179b86SWilliam Wang    io.forward(i).forwardMask := parallelFwdResult.mask
203a8179b86SWilliam Wang    io.forward(i).forwardData := parallelFwdResult.data
204a8179b86SWilliam Wang
205a8179b86SWilliam Wang    when(
206a8179b86SWilliam Wang      oldFwdResult.mask.asUInt =/= parallelFwdResult.mask.asUInt
207a8179b86SWilliam Wang    ){
208a8179b86SWilliam Wang      printf("%d: mask error: right: %b false %b\n", GTimer(), oldFwdResult.mask.asUInt, parallelFwdResult.mask.asUInt)
209a8179b86SWilliam Wang    }
210a8179b86SWilliam Wang
211a8179b86SWilliam Wang    for (p <- 0 until 8) {
212a8179b86SWilliam Wang      when(
213a8179b86SWilliam Wang        oldFwdResult.data(p) =/= parallelFwdResult.data(p) && oldFwdResult.mask(p)
214a8179b86SWilliam Wang      ){
215a8179b86SWilliam Wang        printf("%d: data "+p+" error: right: %x false %x\n", GTimer(), oldFwdResult.data(p), parallelFwdResult.data(p))
216a8179b86SWilliam Wang      }
217a8179b86SWilliam Wang    }
218a8179b86SWilliam Wang
219eb8f00f4SWilliam Wang  })
220eb8f00f4SWilliam Wang
221eb8f00f4SWilliam Wang  // data read
222eb8f00f4SWilliam Wang  io.rdata := data
223eb8f00f4SWilliam Wang  // io.debug.debug_data := data
224eb8f00f4SWilliam Wang}
225eb8f00f4SWilliam Wang
226c7658a75SYinan Xu// inflight miss block reqs
227c7658a75SYinan Xuclass InflightBlockInfo extends XSBundle {
228c7658a75SYinan Xu  val block_addr = UInt(PAddrBits.W)
229c7658a75SYinan Xu  val valid = Bool()
230c7658a75SYinan Xu}
231c7658a75SYinan Xu
232780ade3fSYinan Xuclass LsqEnqIO extends XSBundle {
23308fafef0SYinan Xu  val canAccept = Output(Bool())
234780ade3fSYinan Xu  val needAlloc = Vec(RenameWidth, Input(Bool()))
23508fafef0SYinan Xu  val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp)))
23608fafef0SYinan Xu  val resp = Vec(RenameWidth, Output(new LSIdx))
23708fafef0SYinan Xu}
238780ade3fSYinan Xu
239780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU
240780ade3fSYinan Xuclass LsqWrappper extends XSModule with HasDCacheParameters {
241780ade3fSYinan Xu  val io = IO(new Bundle() {
242780ade3fSYinan Xu    val enq = new LsqEnqIO
243c7658a75SYinan Xu    val brqRedirect = Input(Valid(new Redirect))
244c7658a75SYinan Xu    val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle)))
245c7658a75SYinan Xu    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
246c7658a75SYinan Xu    val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq))
247*c5c06e78SWilliam Wang    val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load
248*c5c06e78SWilliam Wang    val fpout = Vec(2, DecoupledIO(new ExuOutput)) // writeback fp load
249478b655cSWilliam Wang    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
250c7658a75SYinan Xu    val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
25121e7a6c5SYinan Xu    val commits = Flipped(new RoqCommitIO)
252c7658a75SYinan Xu    val rollback = Output(Valid(new Redirect))
253c7658a75SYinan Xu    val dcache = new DCacheLineIO
254c7658a75SYinan Xu    val uncache = new DCacheWordIO
255c7658a75SYinan Xu    val roqDeqPtr = Input(new RoqPtr)
256c7658a75SYinan Xu    val exceptionAddr = new ExceptionAddrIO
257c7658a75SYinan Xu  })
258c7658a75SYinan Xu
259c7658a75SYinan Xu  val loadQueue = Module(new LoadQueue)
260c7658a75SYinan Xu  val storeQueue = Module(new StoreQueue)
261c7658a75SYinan Xu
26208fafef0SYinan Xu  // io.enq logic
26308fafef0SYinan Xu  // LSQ: send out canAccept when both load queue and store queue are ready
26408fafef0SYinan Xu  // Dispatch: send instructions to LSQ only when they are ready
26508fafef0SYinan Xu  io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept
26603f2ceceSYinan Xu  loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept
26703f2ceceSYinan Xu  storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept
26808fafef0SYinan Xu  for (i <- 0 until RenameWidth) {
26908fafef0SYinan Xu    val isStore = CommitType.lsInstIsStore(io.enq.req(i).bits.ctrl.commitType)
270780ade3fSYinan Xu
271780ade3fSYinan Xu    loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i) && !isStore
27208fafef0SYinan Xu    loadQueue.io.enq.req(i).valid  := !isStore && io.enq.req(i).valid
27308fafef0SYinan Xu    loadQueue.io.enq.req(i).bits  := io.enq.req(i).bits
274780ade3fSYinan Xu
275780ade3fSYinan Xu    storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i) && isStore
276780ade3fSYinan Xu    storeQueue.io.enq.req(i).valid :=  isStore && io.enq.req(i).valid
27708fafef0SYinan Xu    storeQueue.io.enq.req(i).bits := io.enq.req(i).bits
278780ade3fSYinan Xu
27908fafef0SYinan Xu    io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i)
28008fafef0SYinan Xu    io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i)
28108fafef0SYinan Xu  }
28208fafef0SYinan Xu
283c7658a75SYinan Xu  // load queue wiring
284c7658a75SYinan Xu  loadQueue.io.brqRedirect <> io.brqRedirect
285c7658a75SYinan Xu  loadQueue.io.loadIn <> io.loadIn
286c7658a75SYinan Xu  loadQueue.io.storeIn <> io.storeIn
287c7658a75SYinan Xu  loadQueue.io.ldout <> io.ldout
288*c5c06e78SWilliam Wang  loadQueue.io.fpout <> io.fpout
289c7658a75SYinan Xu  loadQueue.io.commits <> io.commits
290c7658a75SYinan Xu  loadQueue.io.rollback <> io.rollback
291c7658a75SYinan Xu  loadQueue.io.dcache <> io.dcache
292c7658a75SYinan Xu  loadQueue.io.roqDeqPtr <> io.roqDeqPtr
293c7658a75SYinan Xu  loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx
294c7658a75SYinan Xu  loadQueue.io.exceptionAddr.isStore := DontCare
295c7658a75SYinan Xu
296c7658a75SYinan Xu  // store queue wiring
297c7658a75SYinan Xu  // storeQueue.io <> DontCare
298c7658a75SYinan Xu  storeQueue.io.brqRedirect <> io.brqRedirect
299c7658a75SYinan Xu  storeQueue.io.storeIn <> io.storeIn
300c7658a75SYinan Xu  storeQueue.io.sbuffer <> io.sbuffer
301478b655cSWilliam Wang  storeQueue.io.mmioStout <> io.mmioStout
302c7658a75SYinan Xu  storeQueue.io.commits <> io.commits
303c7658a75SYinan Xu  storeQueue.io.roqDeqPtr <> io.roqDeqPtr
304c7658a75SYinan Xu  storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx
305c7658a75SYinan Xu  storeQueue.io.exceptionAddr.isStore := DontCare
306c7658a75SYinan Xu
3079eb258c3SYinan Xu  loadQueue.io.load_s1 <> io.forward
308c7658a75SYinan Xu  storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE
309c7658a75SYinan Xu
310c7658a75SYinan Xu  io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr)
311c7658a75SYinan Xu
312c7658a75SYinan Xu  // naive uncache arbiter
313c7658a75SYinan Xu  val s_idle :: s_load :: s_store :: Nil = Enum(3)
314c7658a75SYinan Xu  val uncacheState = RegInit(s_idle)
315c7658a75SYinan Xu
316c7658a75SYinan Xu  switch(uncacheState){
317c7658a75SYinan Xu    is(s_idle){
318c7658a75SYinan Xu      when(io.uncache.req.fire()){
319c7658a75SYinan Xu        uncacheState := Mux(loadQueue.io.uncache.req.valid, s_load, s_store)
320c7658a75SYinan Xu      }
321c7658a75SYinan Xu    }
322c7658a75SYinan Xu    is(s_load){
323c7658a75SYinan Xu      when(io.uncache.resp.fire()){
324c7658a75SYinan Xu        uncacheState := s_idle
325c7658a75SYinan Xu      }
326c7658a75SYinan Xu    }
327c7658a75SYinan Xu    is(s_store){
328c7658a75SYinan Xu      when(io.uncache.resp.fire()){
329c7658a75SYinan Xu        uncacheState := s_idle
330c7658a75SYinan Xu      }
331c7658a75SYinan Xu    }
332c7658a75SYinan Xu  }
333c7658a75SYinan Xu
334c7658a75SYinan Xu  loadQueue.io.uncache := DontCare
335c7658a75SYinan Xu  storeQueue.io.uncache := DontCare
336c7658a75SYinan Xu  loadQueue.io.uncache.resp.valid := false.B
337c7658a75SYinan Xu  storeQueue.io.uncache.resp.valid := false.B
338c7658a75SYinan Xu  when(loadQueue.io.uncache.req.valid){
339c7658a75SYinan Xu    io.uncache.req <> loadQueue.io.uncache.req
340c7658a75SYinan Xu  }.otherwise{
341c7658a75SYinan Xu    io.uncache.req <> storeQueue.io.uncache.req
342c7658a75SYinan Xu  }
343c7658a75SYinan Xu  when(uncacheState === s_load){
344c7658a75SYinan Xu    io.uncache.resp <> loadQueue.io.uncache.resp
345c7658a75SYinan Xu  }.otherwise{
346c7658a75SYinan Xu    io.uncache.resp <> storeQueue.io.uncache.resp
347c7658a75SYinan Xu  }
348c7658a75SYinan Xu
349c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid))
350c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid))
351c7658a75SYinan Xu  assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && uncacheState === s_idle))
352c7658a75SYinan Xu
353c7658a75SYinan Xu}
354