1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17c7658a75SYinan Xupackage xiangshan.mem 18c7658a75SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20c7658a75SYinan Xuimport chisel3._ 21c7658a75SYinan Xuimport chisel3.util._ 22c7658a75SYinan Xuimport utils._ 23c7658a75SYinan Xuimport xiangshan._ 24c7658a75SYinan Xuimport xiangshan.cache._ 256d5ddbceSLemoverimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, MemoryOpConstants} 266d5ddbceSLemoverimport xiangshan.cache.mmu.{TlbRequestIO} 27c7658a75SYinan Xuimport xiangshan.mem._ 28*9aca92b9SYinan Xuimport xiangshan.backend.rob.RobLsqIO 29c7658a75SYinan Xu 302225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle { 31c7658a75SYinan Xu val lsIdx = Input(new LSIdx) 32c7658a75SYinan Xu val isStore = Input(Bool()) 33c7658a75SYinan Xu val vaddr = Output(UInt(VAddrBits.W)) 34c7658a75SYinan Xu} 35c7658a75SYinan Xu 362225d46eSJiawei Linclass FwdEntry extends Bundle { 373db2cf75SWilliam Wang val validFast = Bool() // validFast is generated the same cycle with query 383db2cf75SWilliam Wang val valid = Bool() // valid is generated 1 cycle after query request 393db2cf75SWilliam Wang val data = UInt(8.W) // data is generated 1 cycle after query request 40a8179b86SWilliam Wang} 41a8179b86SWilliam Wang 42c7658a75SYinan Xu// inflight miss block reqs 432225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle { 44c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 45c7658a75SYinan Xu val valid = Bool() 46c7658a75SYinan Xu} 47c7658a75SYinan Xu 482225d46eSJiawei Linclass LsqEnqIO(implicit p: Parameters) extends XSBundle { 4908fafef0SYinan Xu val canAccept = Output(Bool()) 50049559e7SYinan Xu val needAlloc = Vec(RenameWidth, Input(UInt(2.W))) 5108fafef0SYinan Xu val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 5208fafef0SYinan Xu val resp = Vec(RenameWidth, Output(new LSIdx)) 5308fafef0SYinan Xu} 54780ade3fSYinan Xu 55780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 562225d46eSJiawei Linclass LsqWrappper(implicit p: Parameters) extends XSModule with HasDCacheParameters { 57780ade3fSYinan Xu val io = IO(new Bundle() { 58780ade3fSYinan Xu val enq = new LsqEnqIO 592d7c7105SYinan Xu val brqRedirect = Flipped(ValidIO(new Redirect)) 602d7c7105SYinan Xu val flush = Input(Bool()) 61c7658a75SYinan Xu val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 62c7658a75SYinan Xu val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 631b7adedcSWilliam Wang val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreDataBundle))) // store data, send to sq from rs 645830ba4fSWilliam Wang val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool())) 65bce7d861SWilliam Wang val needReplayFromRS = Vec(LoadPipelineWidth, Input(Bool())) 661f0e2dc7SJiawei Lin val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReqWithVaddr)) 67c5c06e78SWilliam Wang val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load 68478b655cSWilliam Wang val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store 691b7adedcSWilliam Wang val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 70*9aca92b9SYinan Xu val rob = Flipped(new RobLsqIO) 71c7658a75SYinan Xu val rollback = Output(Valid(new Redirect)) 72d21b1759SYinan Xu val dcache = Flipped(ValidIO(new Refill)) 73c7658a75SYinan Xu val uncache = new DCacheWordIO 74c7658a75SYinan Xu val exceptionAddr = new ExceptionAddrIO 752dcbb932SWilliam Wang val sqempty = Output(Bool()) 762b8b2e7aSWilliam Wang val issuePtrExt = Output(new SqPtr) 77edd6ddbcSwakafa val sqFull = Output(Bool()) 78edd6ddbcSwakafa val lqFull = Output(Bool()) 79c7658a75SYinan Xu }) 80c7658a75SYinan Xu 81c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 82c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 83c7658a75SYinan Xu 8408fafef0SYinan Xu // io.enq logic 8508fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 8608fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 8708fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 8803f2ceceSYinan Xu loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 8903f2ceceSYinan Xu storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 9008fafef0SYinan Xu for (i <- 0 until RenameWidth) { 91049559e7SYinan Xu loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 92049559e7SYinan Xu loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 9308fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 94780ade3fSYinan Xu 95049559e7SYinan Xu storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 96049559e7SYinan Xu storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 9708fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 98780ade3fSYinan Xu 9908fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 10008fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 10108fafef0SYinan Xu } 10208fafef0SYinan Xu 103c7658a75SYinan Xu // load queue wiring 104c7658a75SYinan Xu loadQueue.io.brqRedirect <> io.brqRedirect 1052d7c7105SYinan Xu loadQueue.io.flush <> io.flush 106c7658a75SYinan Xu loadQueue.io.loadIn <> io.loadIn 107c7658a75SYinan Xu loadQueue.io.storeIn <> io.storeIn 1085830ba4fSWilliam Wang loadQueue.io.loadDataForwarded <> io.loadDataForwarded 109bce7d861SWilliam Wang loadQueue.io.needReplayFromRS <> io.needReplayFromRS 110c7658a75SYinan Xu loadQueue.io.ldout <> io.ldout 111*9aca92b9SYinan Xu loadQueue.io.rob <> io.rob 112c7658a75SYinan Xu loadQueue.io.rollback <> io.rollback 113c7658a75SYinan Xu loadQueue.io.dcache <> io.dcache 114c7658a75SYinan Xu loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 115c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 116c7658a75SYinan Xu 117c7658a75SYinan Xu // store queue wiring 118c7658a75SYinan Xu // storeQueue.io <> DontCare 119c7658a75SYinan Xu storeQueue.io.brqRedirect <> io.brqRedirect 1202d7c7105SYinan Xu storeQueue.io.flush <> io.flush 121c7658a75SYinan Xu storeQueue.io.storeIn <> io.storeIn 1221b7adedcSWilliam Wang storeQueue.io.storeDataIn <> io.storeDataIn 123c7658a75SYinan Xu storeQueue.io.sbuffer <> io.sbuffer 124478b655cSWilliam Wang storeQueue.io.mmioStout <> io.mmioStout 125*9aca92b9SYinan Xu storeQueue.io.rob <> io.rob 126c7658a75SYinan Xu storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 127c7658a75SYinan Xu storeQueue.io.exceptionAddr.isStore := DontCare 1282b8b2e7aSWilliam Wang storeQueue.io.issuePtrExt <> io.issuePtrExt 129c7658a75SYinan Xu 1309eb258c3SYinan Xu loadQueue.io.load_s1 <> io.forward 131c7658a75SYinan Xu storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 132c7658a75SYinan Xu 1332dcbb932SWilliam Wang storeQueue.io.sqempty <> io.sqempty 1342dcbb932SWilliam Wang 135c7658a75SYinan Xu io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 136c7658a75SYinan Xu 137c7658a75SYinan Xu // naive uncache arbiter 138c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 13910aac6e7SWilliam Wang val pendingstate = RegInit(s_idle) 140c7658a75SYinan Xu 14110aac6e7SWilliam Wang switch(pendingstate){ 142c7658a75SYinan Xu is(s_idle){ 143c7658a75SYinan Xu when(io.uncache.req.fire()){ 14410aac6e7SWilliam Wang pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, s_store) 145c7658a75SYinan Xu } 146c7658a75SYinan Xu } 147c7658a75SYinan Xu is(s_load){ 148c7658a75SYinan Xu when(io.uncache.resp.fire()){ 14910aac6e7SWilliam Wang pendingstate := s_idle 150c7658a75SYinan Xu } 151c7658a75SYinan Xu } 152c7658a75SYinan Xu is(s_store){ 153c7658a75SYinan Xu when(io.uncache.resp.fire()){ 15410aac6e7SWilliam Wang pendingstate := s_idle 155c7658a75SYinan Xu } 156c7658a75SYinan Xu } 157c7658a75SYinan Xu } 158c7658a75SYinan Xu 159c7658a75SYinan Xu loadQueue.io.uncache := DontCare 160c7658a75SYinan Xu storeQueue.io.uncache := DontCare 161c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 162c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 163c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 164c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 165c7658a75SYinan Xu }.otherwise{ 166c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 167c7658a75SYinan Xu } 16810aac6e7SWilliam Wang when(pendingstate === s_load){ 169c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 170c7658a75SYinan Xu }.otherwise{ 171c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 172c7658a75SYinan Xu } 173c7658a75SYinan Xu 174c7658a75SYinan Xu assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 175c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 17610aac6e7SWilliam Wang assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 177c7658a75SYinan Xu 178edd6ddbcSwakafa io.lqFull := loadQueue.io.lqFull 179edd6ddbcSwakafa io.sqFull := storeQueue.io.sqFull 180c7658a75SYinan Xu} 181