1c7658a75SYinan Xupackage xiangshan.mem 2c7658a75SYinan Xu 3c7658a75SYinan Xuimport chisel3._ 4c7658a75SYinan Xuimport chisel3.util._ 5c7658a75SYinan Xuimport utils._ 6c7658a75SYinan Xuimport xiangshan._ 7c7658a75SYinan Xuimport xiangshan.cache._ 8c7658a75SYinan Xuimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants} 9c7658a75SYinan Xuimport xiangshan.backend.LSUOpType 10c7658a75SYinan Xuimport xiangshan.mem._ 1110aac6e7SWilliam Wangimport xiangshan.backend.roq.RoqLsqIO 12c7658a75SYinan Xu 13c7658a75SYinan Xuclass ExceptionAddrIO extends XSBundle { 14c7658a75SYinan Xu val lsIdx = Input(new LSIdx) 15c7658a75SYinan Xu val isStore = Input(Bool()) 16c7658a75SYinan Xu val vaddr = Output(UInt(VAddrBits.W)) 17c7658a75SYinan Xu} 18c7658a75SYinan Xu 19a8179b86SWilliam Wangclass FwdEntry extends XSBundle { 20b5b78226SWilliam Wang val valid = Bool() 21b5b78226SWilliam Wang val data = UInt(8.W) 22a8179b86SWilliam Wang} 23a8179b86SWilliam Wang 24c7658a75SYinan Xu// inflight miss block reqs 25c7658a75SYinan Xuclass InflightBlockInfo extends XSBundle { 26c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 27c7658a75SYinan Xu val valid = Bool() 28c7658a75SYinan Xu} 29c7658a75SYinan Xu 30780ade3fSYinan Xuclass LsqEnqIO extends XSBundle { 3108fafef0SYinan Xu val canAccept = Output(Bool()) 32049559e7SYinan Xu val needAlloc = Vec(RenameWidth, Input(UInt(2.W))) 3308fafef0SYinan Xu val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 3408fafef0SYinan Xu val resp = Vec(RenameWidth, Output(new LSIdx)) 3508fafef0SYinan Xu} 36780ade3fSYinan Xu 37780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 38780ade3fSYinan Xuclass LsqWrappper extends XSModule with HasDCacheParameters { 39780ade3fSYinan Xu val io = IO(new Bundle() { 40780ade3fSYinan Xu val enq = new LsqEnqIO 412d7c7105SYinan Xu val brqRedirect = Flipped(ValidIO(new Redirect)) 422d7c7105SYinan Xu val flush = Input(Bool()) 43c7658a75SYinan Xu val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 44c7658a75SYinan Xu val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 455830ba4fSWilliam Wang val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool())) 46bce7d861SWilliam Wang val needReplayFromRS = Vec(LoadPipelineWidth, Input(Bool())) 47c7658a75SYinan Xu val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq)) 48c5c06e78SWilliam Wang val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load 49478b655cSWilliam Wang val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store 507830f711SWilliam Wang val forward = Vec(LoadPipelineWidth, Flipped(new MaskedLoadForwardQueryIO)) 5110aac6e7SWilliam Wang val roq = Flipped(new RoqLsqIO) 52c7658a75SYinan Xu val rollback = Output(Valid(new Redirect)) 53d21b1759SYinan Xu val dcache = Flipped(ValidIO(new Refill)) 54c7658a75SYinan Xu val uncache = new DCacheWordIO 55c7658a75SYinan Xu val exceptionAddr = new ExceptionAddrIO 562dcbb932SWilliam Wang val sqempty = Output(Bool()) 57*2b8b2e7aSWilliam Wang val issuePtrExt = Output(new SqPtr) 58*2b8b2e7aSWilliam Wang val storeIssue = Vec(StorePipelineWidth, Flipped(Valid(new ExuInput))) 59c7658a75SYinan Xu }) 60a165bd69Swangkaifan val difftestIO = IO(new Bundle() { 61a165bd69Swangkaifan val fromSQ = new Bundle() { 62a165bd69Swangkaifan val storeCommit = Output(UInt(2.W)) 63a165bd69Swangkaifan val storeAddr = Output(Vec(2, UInt(64.W))) 64a165bd69Swangkaifan val storeData = Output(Vec(2, UInt(64.W))) 65a165bd69Swangkaifan val storeMask = Output(Vec(2, UInt(8.W))) 66a165bd69Swangkaifan } 67a165bd69Swangkaifan }) 68a165bd69Swangkaifan difftestIO <> DontCare 69c7658a75SYinan Xu 70c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 71c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 72c7658a75SYinan Xu 7308fafef0SYinan Xu // io.enq logic 7408fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 7508fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 7608fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 7703f2ceceSYinan Xu loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 7803f2ceceSYinan Xu storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 7908fafef0SYinan Xu for (i <- 0 until RenameWidth) { 80049559e7SYinan Xu loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 81049559e7SYinan Xu loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 8208fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 83780ade3fSYinan Xu 84049559e7SYinan Xu storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 85049559e7SYinan Xu storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 8608fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 87780ade3fSYinan Xu 8808fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 8908fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 9008fafef0SYinan Xu } 9108fafef0SYinan Xu 92c7658a75SYinan Xu // load queue wiring 93c7658a75SYinan Xu loadQueue.io.brqRedirect <> io.brqRedirect 942d7c7105SYinan Xu loadQueue.io.flush <> io.flush 95c7658a75SYinan Xu loadQueue.io.loadIn <> io.loadIn 96c7658a75SYinan Xu loadQueue.io.storeIn <> io.storeIn 975830ba4fSWilliam Wang loadQueue.io.loadDataForwarded <> io.loadDataForwarded 98bce7d861SWilliam Wang loadQueue.io.needReplayFromRS <> io.needReplayFromRS 99c7658a75SYinan Xu loadQueue.io.ldout <> io.ldout 10010aac6e7SWilliam Wang loadQueue.io.roq <> io.roq 101c7658a75SYinan Xu loadQueue.io.rollback <> io.rollback 102c7658a75SYinan Xu loadQueue.io.dcache <> io.dcache 103c7658a75SYinan Xu loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 104c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 105c7658a75SYinan Xu 106c7658a75SYinan Xu // store queue wiring 107c7658a75SYinan Xu // storeQueue.io <> DontCare 108c7658a75SYinan Xu storeQueue.io.brqRedirect <> io.brqRedirect 1092d7c7105SYinan Xu storeQueue.io.flush <> io.flush 110c7658a75SYinan Xu storeQueue.io.storeIn <> io.storeIn 111c7658a75SYinan Xu storeQueue.io.sbuffer <> io.sbuffer 112478b655cSWilliam Wang storeQueue.io.mmioStout <> io.mmioStout 11310aac6e7SWilliam Wang storeQueue.io.roq <> io.roq 114c7658a75SYinan Xu storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 115c7658a75SYinan Xu storeQueue.io.exceptionAddr.isStore := DontCare 116*2b8b2e7aSWilliam Wang storeQueue.io.issuePtrExt <> io.issuePtrExt 117*2b8b2e7aSWilliam Wang storeQueue.io.storeIssue <> io.storeIssue 118c7658a75SYinan Xu 1199eb258c3SYinan Xu loadQueue.io.load_s1 <> io.forward 120c7658a75SYinan Xu storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 121c7658a75SYinan Xu 1222dcbb932SWilliam Wang storeQueue.io.sqempty <> io.sqempty 1232dcbb932SWilliam Wang 1243d499721Swangkaifan if (!env.FPGAPlatform) { 125a165bd69Swangkaifan difftestIO.fromSQ <> storeQueue.difftestIO 126a165bd69Swangkaifan } 127a165bd69Swangkaifan 128c7658a75SYinan Xu io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 129c7658a75SYinan Xu 130c7658a75SYinan Xu // naive uncache arbiter 131c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 13210aac6e7SWilliam Wang val pendingstate = RegInit(s_idle) 133c7658a75SYinan Xu 13410aac6e7SWilliam Wang switch(pendingstate){ 135c7658a75SYinan Xu is(s_idle){ 136c7658a75SYinan Xu when(io.uncache.req.fire()){ 13710aac6e7SWilliam Wang pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, s_store) 138c7658a75SYinan Xu } 139c7658a75SYinan Xu } 140c7658a75SYinan Xu is(s_load){ 141c7658a75SYinan Xu when(io.uncache.resp.fire()){ 14210aac6e7SWilliam Wang pendingstate := s_idle 143c7658a75SYinan Xu } 144c7658a75SYinan Xu } 145c7658a75SYinan Xu is(s_store){ 146c7658a75SYinan Xu when(io.uncache.resp.fire()){ 14710aac6e7SWilliam Wang pendingstate := s_idle 148c7658a75SYinan Xu } 149c7658a75SYinan Xu } 150c7658a75SYinan Xu } 151c7658a75SYinan Xu 152c7658a75SYinan Xu loadQueue.io.uncache := DontCare 153c7658a75SYinan Xu storeQueue.io.uncache := DontCare 154c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 155c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 156c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 157c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 158c7658a75SYinan Xu }.otherwise{ 159c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 160c7658a75SYinan Xu } 16110aac6e7SWilliam Wang when(pendingstate === s_load){ 162c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 163c7658a75SYinan Xu }.otherwise{ 164c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 165c7658a75SYinan Xu } 166c7658a75SYinan Xu 167c7658a75SYinan Xu assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 168c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 16910aac6e7SWilliam Wang assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 170c7658a75SYinan Xu 171c7658a75SYinan Xu} 172