xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LSQWrapper.scala (revision 2225d46ebbe2fd16b9b29963c27a7d0385a42709)
1c7658a75SYinan Xupackage xiangshan.mem
2c7658a75SYinan Xu
3*2225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
4c7658a75SYinan Xuimport chisel3._
5c7658a75SYinan Xuimport chisel3.util._
6c7658a75SYinan Xuimport utils._
7c7658a75SYinan Xuimport xiangshan._
8c7658a75SYinan Xuimport xiangshan.cache._
9c7658a75SYinan Xuimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants}
10c7658a75SYinan Xuimport xiangshan.mem._
1110aac6e7SWilliam Wangimport xiangshan.backend.roq.RoqLsqIO
12c7658a75SYinan Xu
13*2225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle {
14c7658a75SYinan Xu  val lsIdx = Input(new LSIdx)
15c7658a75SYinan Xu  val isStore = Input(Bool())
16c7658a75SYinan Xu  val vaddr = Output(UInt(VAddrBits.W))
17c7658a75SYinan Xu}
18c7658a75SYinan Xu
19*2225d46eSJiawei Linclass FwdEntry extends Bundle {
20b5b78226SWilliam Wang  val valid = Bool()
21b5b78226SWilliam Wang  val data = UInt(8.W)
22a8179b86SWilliam Wang}
23a8179b86SWilliam Wang
24c7658a75SYinan Xu// inflight miss block reqs
25*2225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle {
26c7658a75SYinan Xu  val block_addr = UInt(PAddrBits.W)
27c7658a75SYinan Xu  val valid = Bool()
28c7658a75SYinan Xu}
29c7658a75SYinan Xu
30*2225d46eSJiawei Linclass LsqEnqIO(implicit p: Parameters) extends XSBundle {
3108fafef0SYinan Xu  val canAccept = Output(Bool())
32049559e7SYinan Xu  val needAlloc = Vec(RenameWidth, Input(UInt(2.W)))
3308fafef0SYinan Xu  val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp)))
3408fafef0SYinan Xu  val resp = Vec(RenameWidth, Output(new LSIdx))
3508fafef0SYinan Xu}
36780ade3fSYinan Xu
37780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU
38*2225d46eSJiawei Linclass LsqWrappper(implicit p: Parameters) extends XSModule with HasDCacheParameters {
39780ade3fSYinan Xu  val io = IO(new Bundle() {
40780ade3fSYinan Xu    val enq = new LsqEnqIO
412d7c7105SYinan Xu    val brqRedirect = Flipped(ValidIO(new Redirect))
422d7c7105SYinan Xu    val flush = Input(Bool())
43c7658a75SYinan Xu    val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle)))
44c7658a75SYinan Xu    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
455830ba4fSWilliam Wang    val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool()))
46bce7d861SWilliam Wang    val needReplayFromRS = Vec(LoadPipelineWidth, Input(Bool()))
47c7658a75SYinan Xu    val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq))
48c5c06e78SWilliam Wang    val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load
49478b655cSWilliam Wang    val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store
507830f711SWilliam Wang    val forward = Vec(LoadPipelineWidth, Flipped(new MaskedLoadForwardQueryIO))
5110aac6e7SWilliam Wang    val roq = Flipped(new RoqLsqIO)
52c7658a75SYinan Xu    val rollback = Output(Valid(new Redirect))
53d21b1759SYinan Xu    val dcache = Flipped(ValidIO(new Refill))
54c7658a75SYinan Xu    val uncache = new DCacheWordIO
55c7658a75SYinan Xu    val exceptionAddr = new ExceptionAddrIO
562dcbb932SWilliam Wang    val sqempty = Output(Bool())
572b8b2e7aSWilliam Wang    val issuePtrExt = Output(new SqPtr)
582b8b2e7aSWilliam Wang    val storeIssue = Vec(StorePipelineWidth, Flipped(Valid(new ExuInput)))
59edd6ddbcSwakafa    val sqFull = Output(Bool())
60edd6ddbcSwakafa    val lqFull = Output(Bool())
61c7658a75SYinan Xu  })
62c7658a75SYinan Xu
63c7658a75SYinan Xu  val loadQueue = Module(new LoadQueue)
64c7658a75SYinan Xu  val storeQueue = Module(new StoreQueue)
65c7658a75SYinan Xu
6608fafef0SYinan Xu  // io.enq logic
6708fafef0SYinan Xu  // LSQ: send out canAccept when both load queue and store queue are ready
6808fafef0SYinan Xu  // Dispatch: send instructions to LSQ only when they are ready
6908fafef0SYinan Xu  io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept
7003f2ceceSYinan Xu  loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept
7103f2ceceSYinan Xu  storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept
7208fafef0SYinan Xu  for (i <- 0 until RenameWidth) {
73049559e7SYinan Xu    loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0)
74049559e7SYinan Xu    loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid
7508fafef0SYinan Xu    loadQueue.io.enq.req(i).bits  := io.enq.req(i).bits
76780ade3fSYinan Xu
77049559e7SYinan Xu    storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1)
78049559e7SYinan Xu    storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid
7908fafef0SYinan Xu    storeQueue.io.enq.req(i).bits  := io.enq.req(i).bits
80780ade3fSYinan Xu
8108fafef0SYinan Xu    io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i)
8208fafef0SYinan Xu    io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i)
8308fafef0SYinan Xu  }
8408fafef0SYinan Xu
85c7658a75SYinan Xu  // load queue wiring
86c7658a75SYinan Xu  loadQueue.io.brqRedirect <> io.brqRedirect
872d7c7105SYinan Xu  loadQueue.io.flush <> io.flush
88c7658a75SYinan Xu  loadQueue.io.loadIn <> io.loadIn
89c7658a75SYinan Xu  loadQueue.io.storeIn <> io.storeIn
905830ba4fSWilliam Wang  loadQueue.io.loadDataForwarded <> io.loadDataForwarded
91bce7d861SWilliam Wang  loadQueue.io.needReplayFromRS <> io.needReplayFromRS
92c7658a75SYinan Xu  loadQueue.io.ldout <> io.ldout
9310aac6e7SWilliam Wang  loadQueue.io.roq <> io.roq
94c7658a75SYinan Xu  loadQueue.io.rollback <> io.rollback
95c7658a75SYinan Xu  loadQueue.io.dcache <> io.dcache
96c7658a75SYinan Xu  loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx
97c7658a75SYinan Xu  loadQueue.io.exceptionAddr.isStore := DontCare
98c7658a75SYinan Xu
99c7658a75SYinan Xu  // store queue wiring
100c7658a75SYinan Xu  // storeQueue.io <> DontCare
101c7658a75SYinan Xu  storeQueue.io.brqRedirect <> io.brqRedirect
1022d7c7105SYinan Xu  storeQueue.io.flush <> io.flush
103c7658a75SYinan Xu  storeQueue.io.storeIn <> io.storeIn
104c7658a75SYinan Xu  storeQueue.io.sbuffer <> io.sbuffer
105478b655cSWilliam Wang  storeQueue.io.mmioStout <> io.mmioStout
10610aac6e7SWilliam Wang  storeQueue.io.roq <> io.roq
107c7658a75SYinan Xu  storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx
108c7658a75SYinan Xu  storeQueue.io.exceptionAddr.isStore := DontCare
1092b8b2e7aSWilliam Wang  storeQueue.io.issuePtrExt <> io.issuePtrExt
1102b8b2e7aSWilliam Wang  storeQueue.io.storeIssue <> io.storeIssue
111c7658a75SYinan Xu
1129eb258c3SYinan Xu  loadQueue.io.load_s1 <> io.forward
113c7658a75SYinan Xu  storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE
114c7658a75SYinan Xu
1152dcbb932SWilliam Wang  storeQueue.io.sqempty <> io.sqempty
1162dcbb932SWilliam Wang
117c7658a75SYinan Xu  io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr)
118c7658a75SYinan Xu
119c7658a75SYinan Xu  // naive uncache arbiter
120c7658a75SYinan Xu  val s_idle :: s_load :: s_store :: Nil = Enum(3)
12110aac6e7SWilliam Wang  val pendingstate = RegInit(s_idle)
122c7658a75SYinan Xu
12310aac6e7SWilliam Wang  switch(pendingstate){
124c7658a75SYinan Xu    is(s_idle){
125c7658a75SYinan Xu      when(io.uncache.req.fire()){
12610aac6e7SWilliam Wang        pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, s_store)
127c7658a75SYinan Xu      }
128c7658a75SYinan Xu    }
129c7658a75SYinan Xu    is(s_load){
130c7658a75SYinan Xu      when(io.uncache.resp.fire()){
13110aac6e7SWilliam Wang        pendingstate := s_idle
132c7658a75SYinan Xu      }
133c7658a75SYinan Xu    }
134c7658a75SYinan Xu    is(s_store){
135c7658a75SYinan Xu      when(io.uncache.resp.fire()){
13610aac6e7SWilliam Wang        pendingstate := s_idle
137c7658a75SYinan Xu      }
138c7658a75SYinan Xu    }
139c7658a75SYinan Xu  }
140c7658a75SYinan Xu
141c7658a75SYinan Xu  loadQueue.io.uncache := DontCare
142c7658a75SYinan Xu  storeQueue.io.uncache := DontCare
143c7658a75SYinan Xu  loadQueue.io.uncache.resp.valid := false.B
144c7658a75SYinan Xu  storeQueue.io.uncache.resp.valid := false.B
145c7658a75SYinan Xu  when(loadQueue.io.uncache.req.valid){
146c7658a75SYinan Xu    io.uncache.req <> loadQueue.io.uncache.req
147c7658a75SYinan Xu  }.otherwise{
148c7658a75SYinan Xu    io.uncache.req <> storeQueue.io.uncache.req
149c7658a75SYinan Xu  }
15010aac6e7SWilliam Wang  when(pendingstate === s_load){
151c7658a75SYinan Xu    io.uncache.resp <> loadQueue.io.uncache.resp
152c7658a75SYinan Xu  }.otherwise{
153c7658a75SYinan Xu    io.uncache.resp <> storeQueue.io.uncache.resp
154c7658a75SYinan Xu  }
155c7658a75SYinan Xu
156c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid))
157c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid))
15810aac6e7SWilliam Wang  assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle))
159c7658a75SYinan Xu
160edd6ddbcSwakafa  io.lqFull := loadQueue.io.lqFull
161edd6ddbcSwakafa  io.sqFull := storeQueue.io.sqFull
162c7658a75SYinan Xu}
163