xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LSQWrapper.scala (revision 20a5248fc72cbfda1fdcbdfca05462d1f45b7939)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17c7658a75SYinan Xupackage xiangshan.mem
18c7658a75SYinan Xu
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
20c7658a75SYinan Xuimport chisel3._
21c7658a75SYinan Xuimport chisel3.util._
223b739f49SXuan Huimport utils._
233c02ee8fSwakafaimport utility._
24c7658a75SYinan Xuimport xiangshan._
25870f462dSXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuOutput}
263b739f49SXuan Huimport xiangshan.cache._
27870f462dSXuan Huimport xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants}
28870f462dSXuan Huimport xiangshan.cache.mmu.TlbRequestIO
293b739f49SXuan Huimport xiangshan.mem._
3093eb4d85Ssfencevmaimport xiangshan.backend._
319aca92b9SYinan Xuimport xiangshan.backend.rob.RobLsqIO
32c7658a75SYinan Xu
332225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle {
34c7658a75SYinan Xu  val isStore = Input(Bool())
35c7658a75SYinan Xu  val vaddr = Output(UInt(VAddrBits.W))
36c7658a75SYinan Xu}
37c7658a75SYinan Xu
382225d46eSJiawei Linclass FwdEntry extends Bundle {
393db2cf75SWilliam Wang  val validFast = Bool() // validFast is generated the same cycle with query
403db2cf75SWilliam Wang  val valid = Bool() // valid is generated 1 cycle after query request
413db2cf75SWilliam Wang  val data = UInt(8.W) // data is generated 1 cycle after query request
42a8179b86SWilliam Wang}
43a8179b86SWilliam Wang
44c7658a75SYinan Xu// inflight miss block reqs
452225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle {
46c7658a75SYinan Xu  val block_addr = UInt(PAddrBits.W)
47c7658a75SYinan Xu  val valid = Bool()
48c7658a75SYinan Xu}
49c7658a75SYinan Xu
5093eb4d85Ssfencevmaclass LsqEnqIO(implicit p: Parameters) extends MemBlockBundle {
5108fafef0SYinan Xu  val canAccept = Output(Bool())
5254dc1a5aSXuan Hu  val needAlloc = Vec(LSQEnqWidth, Input(UInt(2.W)))
5354dc1a5aSXuan Hu  val req       = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst)))
5454dc1a5aSXuan Hu  val resp      = Vec(LSQEnqWidth, Output(new LSIdx))
5508fafef0SYinan Xu}
56780ade3fSYinan Xu
57780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU
58e4f69d78Ssfencevmaclass LsqWrapper(implicit p: Parameters) extends XSModule with HasDCacheParameters with HasPerfEvents {
59780ade3fSYinan Xu  val io = IO(new Bundle() {
605668a921SJiawei Lin    val hartId = Input(UInt(8.W))
612d7c7105SYinan Xu    val brqRedirect = Flipped(ValidIO(new Redirect))
62e4f69d78Ssfencevma    val enq = new LsqEnqIO
63e4f69d78Ssfencevma    val ldu = new Bundle() {
6414a67055Ssfencevma        val stld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2
6514a67055Ssfencevma        val ldld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2
6614a67055Ssfencevma        val ldin = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) // from load_s3
67e4f69d78Ssfencevma    }
68e4f69d78Ssfencevma    val sta = new Bundle() {
69e4f69d78Ssfencevma      val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // from store_s0, store mask, send to sq from rs
70e4f69d78Ssfencevma      val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // from store_s1
71e4f69d78Ssfencevma      val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // from store_s2
72*20a5248fSzhanglinjuan      val vecStoreAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
73e4f69d78Ssfencevma    }
74e4f69d78Ssfencevma    val std = new Bundle() {
7568d13085SXuan Hu      val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput))) // from store_s0, store data, send to sq from rs
76e4f69d78Ssfencevma    }
77c61abc0cSXuan Hu    val ldout = Vec(LoadPipelineWidth, DecoupledIO(new MemExuOutput))
7814a67055Ssfencevma    val ld_raw_data = Vec(LoadPipelineWidth, Output(new LoadDataFromLQBundle))
79e4f69d78Ssfencevma    val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle))
800d32f713Shappy-lx    val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag))
811b7adedcSWilliam Wang    val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO))
829aca92b9SYinan Xu    val rob = Flipped(new RobLsqIO)
83c7658a75SYinan Xu    val rollback = Output(Valid(new Redirect))
84e4f69d78Ssfencevma    val release = Flipped(Valid(new Release))
85e4f69d78Ssfencevma    val refill = Flipped(Valid(new Refill))
869444e131Ssfencevma    val tl_d_channel  = Input(new DcacheToLduForwardIO)
87e4f69d78Ssfencevma    val uncacheOutstanding = Input(Bool())
886786cfb7SWilliam Wang    val uncache = new UncacheWordIO
8968d13085SXuan Hu    val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store
90e4f69d78Ssfencevma    val sqEmpty = Output(Bool())
9114a67055Ssfencevma    val lq_rep_full = Output(Bool())
92edd6ddbcSwakafa    val sqFull = Output(Bool())
93edd6ddbcSwakafa    val lqFull = Output(Bool())
9410551d4eSYinan Xu    val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize+1).W))
95e4f69d78Ssfencevma    val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize+1).W))
96e4f69d78Ssfencevma    val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W))
9746f74b57SHaojin Tang    val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W))
98d2b20d1aSTang Haojin    val lqCanAccept = Output(Bool())
99d2b20d1aSTang Haojin    val sqCanAccept = Output(Bool())
100e4f69d78Ssfencevma    val exceptionAddr = new ExceptionAddrIO
101b978565cSWilliam Wang    val trigger = Vec(LoadPipelineWidth, new LqTriggerIO)
102e4f69d78Ssfencevma    val issuePtrExt = Output(new SqPtr)
10314a67055Ssfencevma    val l2_hint = Input(Valid(new L2ToL1Hint()))
1042fdb4d6aShappy-lx    val force_write = Output(Bool())
1050d32f713Shappy-lx    val lqEmpty = Output(Bool())
106*20a5248fSzhanglinjuan
107*20a5248fSzhanglinjuan    // vector
108*20a5248fSzhanglinjuan    val vecWriteback = Flipped(ValidIO(new MemExuOutput(isVector = true)))
109*20a5248fSzhanglinjuan    val vecStoreRetire = Flipped(ValidIO(new SqPtr))
110*20a5248fSzhanglinjuan
111*20a5248fSzhanglinjuan    // top-down
11260ebee38STang Haojin    val debugTopDown = new LoadQueueTopDownIO
113c7658a75SYinan Xu  })
114c7658a75SYinan Xu
115c7658a75SYinan Xu  val loadQueue = Module(new LoadQueue)
116c7658a75SYinan Xu  val storeQueue = Module(new StoreQueue)
117c7658a75SYinan Xu
1185668a921SJiawei Lin  storeQueue.io.hartId := io.hartId
11937225120Ssfencevma  storeQueue.io.uncacheOutstanding := io.uncacheOutstanding
1205668a921SJiawei Lin
121a760aeb0Shappy-lx
122a760aeb0Shappy-lx  dontTouch(loadQueue.io.tlbReplayDelayCycleCtrl)
123c61abc0cSXuan Hu  // Todo: imm
1248a610956Ssfencevma  val tlbReplayDelayCycleCtrl = WireInit(VecInit(Seq(14.U(ReSelectLen.W), 0.U(ReSelectLen.W), 125.U(ReSelectLen.W), 0.U(ReSelectLen.W))))
125a760aeb0Shappy-lx  loadQueue.io.tlbReplayDelayCycleCtrl := tlbReplayDelayCycleCtrl
126a760aeb0Shappy-lx
12708fafef0SYinan Xu  // io.enq logic
12808fafef0SYinan Xu  // LSQ: send out canAccept when both load queue and store queue are ready
12908fafef0SYinan Xu  // Dispatch: send instructions to LSQ only when they are ready
13008fafef0SYinan Xu  io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept
131d2b20d1aSTang Haojin  io.lqCanAccept := loadQueue.io.enq.canAccept
132d2b20d1aSTang Haojin  io.sqCanAccept := storeQueue.io.enq.canAccept
13303f2ceceSYinan Xu  loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept
13403f2ceceSYinan Xu  storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept
1357057cff8SYinan Xu  for (i <- io.enq.req.indices) {
136049559e7SYinan Xu    loadQueue.io.enq.needAlloc(i)      := io.enq.needAlloc(i)(0)
137049559e7SYinan Xu    loadQueue.io.enq.req(i).valid      := io.enq.needAlloc(i)(0) && io.enq.req(i).valid
13808fafef0SYinan Xu    loadQueue.io.enq.req(i).bits       := io.enq.req(i).bits
1397057cff8SYinan Xu    loadQueue.io.enq.req(i).bits.sqIdx := storeQueue.io.enq.resp(i)
140780ade3fSYinan Xu
141049559e7SYinan Xu    storeQueue.io.enq.needAlloc(i)      := io.enq.needAlloc(i)(1)
142049559e7SYinan Xu    storeQueue.io.enq.req(i).valid      := io.enq.needAlloc(i)(1) && io.enq.req(i).valid
14308fafef0SYinan Xu    storeQueue.io.enq.req(i).bits       := io.enq.req(i).bits
1447057cff8SYinan Xu    storeQueue.io.enq.req(i).bits       := io.enq.req(i).bits
1457057cff8SYinan Xu    storeQueue.io.enq.req(i).bits.lqIdx := loadQueue.io.enq.resp(i)
146780ade3fSYinan Xu
14708fafef0SYinan Xu    io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i)
14808fafef0SYinan Xu    io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i)
14908fafef0SYinan Xu  }
15008fafef0SYinan Xu
151e4f69d78Ssfencevma  // store queue wiring
152e4f69d78Ssfencevma  storeQueue.io.brqRedirect <> io.brqRedirect
153e4f69d78Ssfencevma  storeQueue.io.storeAddrIn <> io.sta.storeAddrIn // from store_s1
154e4f69d78Ssfencevma  storeQueue.io.storeAddrInRe <> io.sta.storeAddrInRe // from store_s2
155e4f69d78Ssfencevma  storeQueue.io.storeDataIn <> io.std.storeDataIn // from store_s0
156e4f69d78Ssfencevma  storeQueue.io.storeMaskIn <> io.sta.storeMaskIn // from store_s0
157e4f69d78Ssfencevma  storeQueue.io.sbuffer     <> io.sbuffer
158e4f69d78Ssfencevma  storeQueue.io.mmioStout   <> io.mmioStout
159e4f69d78Ssfencevma  storeQueue.io.rob         <> io.rob
160e4f69d78Ssfencevma  storeQueue.io.exceptionAddr.isStore := DontCare
161e4f69d78Ssfencevma  storeQueue.io.sqCancelCnt <> io.sqCancelCnt
162e4f69d78Ssfencevma  storeQueue.io.sqDeq       <> io.sqDeq
163e4f69d78Ssfencevma  storeQueue.io.sqEmpty     <> io.sqEmpty
164e4f69d78Ssfencevma  storeQueue.io.sqFull      <> io.sqFull
165e4f69d78Ssfencevma  storeQueue.io.forward     <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE
1662fdb4d6aShappy-lx  storeQueue.io.force_write <> io.force_write
167*20a5248fSzhanglinjuan  storeQueue.io.vecStoreRetire <> io.vecStoreRetire
168e4f69d78Ssfencevma
169e4f69d78Ssfencevma  /* <------- DANGEROUS: Don't change sequence here ! -------> */
170e4f69d78Ssfencevma
171c7658a75SYinan Xu  //  load queue wiring
172e4f69d78Ssfencevma  loadQueue.io.redirect            <> io.brqRedirect
173e4f69d78Ssfencevma  loadQueue.io.ldu                 <> io.ldu
17414a67055Ssfencevma  loadQueue.io.ldout               <> io.ldout
17514a67055Ssfencevma  loadQueue.io.ld_raw_data         <> io.ld_raw_data
1769aca92b9SYinan Xu  loadQueue.io.rob                 <> io.rob
177c7658a75SYinan Xu  loadQueue.io.rollback            <> io.rollback
178e4f69d78Ssfencevma  loadQueue.io.replay              <> io.replay
17909203307SWilliam Wang  loadQueue.io.refill              <> io.refill
1809444e131Ssfencevma  loadQueue.io.tl_d_channel        <> io.tl_d_channel
18167682d05SWilliam Wang  loadQueue.io.release             <> io.release
182b978565cSWilliam Wang  loadQueue.io.trigger             <> io.trigger
183c7658a75SYinan Xu  loadQueue.io.exceptionAddr.isStore := DontCare
18410551d4eSYinan Xu  loadQueue.io.lqCancelCnt         <> io.lqCancelCnt
185e4f69d78Ssfencevma  loadQueue.io.sq.stAddrReadySqPtr <> storeQueue.io.stAddrReadySqPtr
186e4f69d78Ssfencevma  loadQueue.io.sq.stAddrReadyVec   <> storeQueue.io.stAddrReadyVec
187e4f69d78Ssfencevma  loadQueue.io.sq.stDataReadySqPtr <> storeQueue.io.stDataReadySqPtr
188e4f69d78Ssfencevma  loadQueue.io.sq.stDataReadyVec   <> storeQueue.io.stDataReadyVec
189e4f69d78Ssfencevma  loadQueue.io.sq.stIssuePtr       <> storeQueue.io.stIssuePtr
190e4f69d78Ssfencevma  loadQueue.io.sq.sqEmpty          <> storeQueue.io.sqEmpty
191e4f69d78Ssfencevma  loadQueue.io.sta.storeAddrIn     <> io.sta.storeAddrIn // store_s1
192*20a5248fSzhanglinjuan  loadQueue.io.sta.vecStoreAddrIn  <> io.sta.vecStoreAddrIn // store_s1
193e4f69d78Ssfencevma  loadQueue.io.std.storeDataIn     <> io.std.storeDataIn // store_s0
194e4f69d78Ssfencevma  loadQueue.io.lqFull              <> io.lqFull
19514a67055Ssfencevma  loadQueue.io.lq_rep_full         <> io.lq_rep_full
196e4f69d78Ssfencevma  loadQueue.io.lqDeq               <> io.lqDeq
19714a67055Ssfencevma  loadQueue.io.l2_hint             <> io.l2_hint
1980d32f713Shappy-lx  loadQueue.io.lqEmpty             <> io.lqEmpty
199*20a5248fSzhanglinjuan  loadQueue.io.vecWriteback        <> io.vecWriteback
2002dcbb932SWilliam Wang
2018a33de1fSYinan Xu  // rob commits for lsq is delayed for two cycles, which causes the delayed update for deqPtr in lq/sq
2028a33de1fSYinan Xu  // s0: commit
2038a33de1fSYinan Xu  // s1:               exception find
2048a33de1fSYinan Xu  // s2:               exception triggered
2058a33de1fSYinan Xu  // s3: ptr updated & new address
2068a33de1fSYinan Xu  // address will be used at the next cycle after exception is triggered
2078a33de1fSYinan Xu  io.exceptionAddr.vaddr := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr)
208e4f69d78Ssfencevma  io.issuePtrExt := storeQueue.io.stAddrReadySqPtr
209c7658a75SYinan Xu
210c7658a75SYinan Xu  // naive uncache arbiter
211c7658a75SYinan Xu  val s_idle :: s_load :: s_store :: Nil = Enum(3)
21210aac6e7SWilliam Wang  val pendingstate = RegInit(s_idle)
213c7658a75SYinan Xu
21410aac6e7SWilliam Wang  switch(pendingstate){
215c7658a75SYinan Xu    is(s_idle){
216935edac4STang Haojin      when(io.uncache.req.fire && !io.uncacheOutstanding){
21737225120Ssfencevma        pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load,
21837225120Ssfencevma                          Mux(io.uncacheOutstanding, s_idle, s_store))
219c7658a75SYinan Xu      }
220c7658a75SYinan Xu    }
221c7658a75SYinan Xu    is(s_load){
222935edac4STang Haojin      when(io.uncache.resp.fire){
22310aac6e7SWilliam Wang        pendingstate := s_idle
224c7658a75SYinan Xu      }
225c7658a75SYinan Xu    }
226c7658a75SYinan Xu    is(s_store){
227935edac4STang Haojin      when(io.uncache.resp.fire){
22810aac6e7SWilliam Wang        pendingstate := s_idle
229c7658a75SYinan Xu      }
230c7658a75SYinan Xu    }
231c7658a75SYinan Xu  }
232c7658a75SYinan Xu
233c7658a75SYinan Xu  loadQueue.io.uncache := DontCare
234c7658a75SYinan Xu  storeQueue.io.uncache := DontCare
235935edac4STang Haojin  loadQueue.io.uncache.req.ready := false.B
236935edac4STang Haojin  storeQueue.io.uncache.req.ready := false.B
237c7658a75SYinan Xu  loadQueue.io.uncache.resp.valid := false.B
238c7658a75SYinan Xu  storeQueue.io.uncache.resp.valid := false.B
239c7658a75SYinan Xu  when(loadQueue.io.uncache.req.valid){
240c7658a75SYinan Xu    io.uncache.req <> loadQueue.io.uncache.req
241c7658a75SYinan Xu  }.otherwise{
242c7658a75SYinan Xu    io.uncache.req <> storeQueue.io.uncache.req
243c7658a75SYinan Xu  }
24437225120Ssfencevma  when (io.uncacheOutstanding) {
24537225120Ssfencevma    io.uncache.resp <> loadQueue.io.uncache.resp
24637225120Ssfencevma  } .otherwise {
24710aac6e7SWilliam Wang    when(pendingstate === s_load){
248c7658a75SYinan Xu      io.uncache.resp <> loadQueue.io.uncache.resp
249c7658a75SYinan Xu    }.otherwise{
250c7658a75SYinan Xu      io.uncache.resp <> storeQueue.io.uncache.resp
251c7658a75SYinan Xu    }
25237225120Ssfencevma  }
25337225120Ssfencevma
25460ebee38STang Haojin  loadQueue.io.debugTopDown <> io.debugTopDown
255c7658a75SYinan Xu
256c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid))
257c7658a75SYinan Xu  assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid))
25837225120Ssfencevma  when (!io.uncacheOutstanding) {
25910aac6e7SWilliam Wang    assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle))
26037225120Ssfencevma  }
261c7658a75SYinan Xu
262cd365d4cSrvcoresjw
2631ca0e4f3SYinan Xu  val perfEvents = Seq(loadQueue, storeQueue).flatMap(_.getPerfEvents)
2641ca0e4f3SYinan Xu  generatePerfEvent()
265c7658a75SYinan Xu}
26610551d4eSYinan Xu
26710551d4eSYinan Xuclass LsqEnqCtrl(implicit p: Parameters) extends XSModule {
26810551d4eSYinan Xu  val io = IO(new Bundle {
26910551d4eSYinan Xu    val redirect = Flipped(ValidIO(new Redirect))
27010551d4eSYinan Xu    // to dispatch
27110551d4eSYinan Xu    val enq = new LsqEnqIO
272e4f69d78Ssfencevma    // from `memBlock.io.lqDeq
27310551d4eSYinan Xu    val lcommit = Input(UInt(log2Up(CommitWidth + 1).W))
27446f74b57SHaojin Tang    // from `memBlock.io.sqDeq`
27546f74b57SHaojin Tang    val scommit = Input(UInt(log2Ceil(EnsbufferWidth + 1).W))
27610551d4eSYinan Xu    // from/tp lsq
277e4f69d78Ssfencevma    val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W))
27810551d4eSYinan Xu    val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W))
27910551d4eSYinan Xu    val enqLsq = Flipped(new LsqEnqIO)
28010551d4eSYinan Xu  })
28110551d4eSYinan Xu
28210551d4eSYinan Xu  val lqPtr = RegInit(0.U.asTypeOf(new LqPtr))
28310551d4eSYinan Xu  val sqPtr = RegInit(0.U.asTypeOf(new SqPtr))
284e4f69d78Ssfencevma  val lqCounter = RegInit(VirtualLoadQueueSize.U(log2Up(VirtualLoadQueueSize + 1).W))
28510551d4eSYinan Xu  val sqCounter = RegInit(StoreQueueSize.U(log2Up(StoreQueueSize + 1).W))
28610551d4eSYinan Xu  val canAccept = RegInit(false.B)
28710551d4eSYinan Xu
28810551d4eSYinan Xu  val loadEnqNumber = PopCount(io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(0)))
28910551d4eSYinan Xu  val storeEnqNumber = PopCount(io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(1)))
29010551d4eSYinan Xu
29110551d4eSYinan Xu  // How to update ptr and counter:
29210551d4eSYinan Xu  // (1) by default, updated according to enq/commit
29310551d4eSYinan Xu  // (2) when redirect and dispatch queue is empty, update according to lsq
29410551d4eSYinan Xu  val t1_redirect = RegNext(io.redirect.valid)
29510551d4eSYinan Xu  val t2_redirect = RegNext(t1_redirect)
29610551d4eSYinan Xu  val t2_update = t2_redirect && !VecInit(io.enq.needAlloc.map(_.orR)).asUInt.orR
29710551d4eSYinan Xu  val t3_update = RegNext(t2_update)
29810551d4eSYinan Xu  val t3_lqCancelCnt = RegNext(io.lqCancelCnt)
29910551d4eSYinan Xu  val t3_sqCancelCnt = RegNext(io.sqCancelCnt)
30010551d4eSYinan Xu  when (t3_update) {
30110551d4eSYinan Xu    lqPtr := lqPtr - t3_lqCancelCnt
30210551d4eSYinan Xu    lqCounter := lqCounter + io.lcommit + t3_lqCancelCnt
30310551d4eSYinan Xu    sqPtr := sqPtr - t3_sqCancelCnt
30410551d4eSYinan Xu    sqCounter := sqCounter + io.scommit + t3_sqCancelCnt
30510551d4eSYinan Xu  }.elsewhen (!io.redirect.valid && io.enq.canAccept) {
30610551d4eSYinan Xu    lqPtr := lqPtr + loadEnqNumber
30710551d4eSYinan Xu    lqCounter := lqCounter + io.lcommit - loadEnqNumber
30810551d4eSYinan Xu    sqPtr := sqPtr + storeEnqNumber
30910551d4eSYinan Xu    sqCounter := sqCounter + io.scommit - storeEnqNumber
31010551d4eSYinan Xu  }.otherwise {
31110551d4eSYinan Xu    lqCounter := lqCounter + io.lcommit
31210551d4eSYinan Xu    sqCounter := sqCounter + io.scommit
31310551d4eSYinan Xu  }
31410551d4eSYinan Xu
31510551d4eSYinan Xu
31605cd9e72SHaojin Tang  val maxAllocate = backendParams.LdExuCnt max backendParams.StaExuCnt
31710551d4eSYinan Xu  val ldCanAccept = lqCounter >= loadEnqNumber +& maxAllocate.U
31810551d4eSYinan Xu  val sqCanAccept = sqCounter >= storeEnqNumber +& maxAllocate.U
31910551d4eSYinan Xu  // It is possible that t3_update and enq are true at the same clock cycle.
32010551d4eSYinan Xu  // For example, if redirect.valid lasts more than one clock cycle,
32110551d4eSYinan Xu  // after the last redirect, new instructions may enter but previously redirect
32210551d4eSYinan Xu  // has not been resolved (updated according to the cancel count from LSQ).
32310551d4eSYinan Xu  // To solve the issue easily, we block enqueue when t3_update, which is RegNext(t2_update).
32410551d4eSYinan Xu  io.enq.canAccept := RegNext(ldCanAccept && sqCanAccept && !t2_update)
32510551d4eSYinan Xu  val lqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W)))
32610551d4eSYinan Xu  val sqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W)))
32710551d4eSYinan Xu  for ((resp, i) <- io.enq.resp.zipWithIndex) {
32810551d4eSYinan Xu    lqOffset(i) := PopCount(io.enq.needAlloc.take(i).map(a => a(0)))
32910551d4eSYinan Xu    resp.lqIdx := lqPtr + lqOffset(i)
33010551d4eSYinan Xu    sqOffset(i) := PopCount(io.enq.needAlloc.take(i).map(a => a(1)))
33110551d4eSYinan Xu    resp.sqIdx := sqPtr + sqOffset(i)
33210551d4eSYinan Xu  }
33310551d4eSYinan Xu
33410551d4eSYinan Xu  io.enqLsq.needAlloc := RegNext(io.enq.needAlloc)
33510551d4eSYinan Xu  io.enqLsq.req.zip(io.enq.req).zip(io.enq.resp).foreach{ case ((toLsq, enq), resp) =>
33610551d4eSYinan Xu    val do_enq = enq.valid && !io.redirect.valid && io.enq.canAccept
33710551d4eSYinan Xu    toLsq.valid := RegNext(do_enq)
33810551d4eSYinan Xu    toLsq.bits := RegEnable(enq.bits, do_enq)
33910551d4eSYinan Xu    toLsq.bits.lqIdx := RegEnable(resp.lqIdx, do_enq)
34010551d4eSYinan Xu    toLsq.bits.sqIdx := RegEnable(resp.sqIdx, do_enq)
34110551d4eSYinan Xu  }
34210551d4eSYinan Xu
34310551d4eSYinan Xu}