1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17c7658a75SYinan Xupackage xiangshan.mem 18c7658a75SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20c7658a75SYinan Xuimport chisel3._ 21c7658a75SYinan Xuimport chisel3.util._ 22c7658a75SYinan Xuimport utils._ 233c02ee8fSwakafaimport utility._ 24c7658a75SYinan Xuimport xiangshan._ 25c7658a75SYinan Xuimport xiangshan.cache._ 266d5ddbceSLemoverimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, MemoryOpConstants} 276d5ddbceSLemoverimport xiangshan.cache.mmu.{TlbRequestIO} 28c7658a75SYinan Xuimport xiangshan.mem._ 299aca92b9SYinan Xuimport xiangshan.backend.rob.RobLsqIO 30c7658a75SYinan Xu 312225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle { 32c7658a75SYinan Xu val isStore = Input(Bool()) 33c7658a75SYinan Xu val vaddr = Output(UInt(VAddrBits.W)) 34c7658a75SYinan Xu} 35c7658a75SYinan Xu 362225d46eSJiawei Linclass FwdEntry extends Bundle { 373db2cf75SWilliam Wang val validFast = Bool() // validFast is generated the same cycle with query 383db2cf75SWilliam Wang val valid = Bool() // valid is generated 1 cycle after query request 393db2cf75SWilliam Wang val data = UInt(8.W) // data is generated 1 cycle after query request 40a8179b86SWilliam Wang} 41a8179b86SWilliam Wang 42c7658a75SYinan Xu// inflight miss block reqs 432225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle { 44c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 45c7658a75SYinan Xu val valid = Bool() 46c7658a75SYinan Xu} 47c7658a75SYinan Xu 482225d46eSJiawei Linclass LsqEnqIO(implicit p: Parameters) extends XSBundle { 4908fafef0SYinan Xu val canAccept = Output(Bool()) 507057cff8SYinan Xu val needAlloc = Vec(exuParameters.LsExuCnt, Input(UInt(2.W))) 517057cff8SYinan Xu val req = Vec(exuParameters.LsExuCnt, Flipped(ValidIO(new MicroOp))) 527057cff8SYinan Xu val resp = Vec(exuParameters.LsExuCnt, Output(new LSIdx)) 5308fafef0SYinan Xu} 54780ade3fSYinan Xu 55780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 56e4f69d78Ssfencevmaclass LsqWrapper(implicit p: Parameters) extends XSModule with HasDCacheParameters with HasPerfEvents { 57780ade3fSYinan Xu val io = IO(new Bundle() { 585668a921SJiawei Lin val hartId = Input(UInt(8.W)) 592d7c7105SYinan Xu val brqRedirect = Flipped(ValidIO(new Redirect)) 60e4f69d78Ssfencevma val enq = new LsqEnqIO 61e4f69d78Ssfencevma val ldu = new Bundle() { 6214a67055Ssfencevma val stld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 6314a67055Ssfencevma val ldld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 6414a67055Ssfencevma val ldin = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) // from load_s3 65e4f69d78Ssfencevma } 66e4f69d78Ssfencevma val sta = new Bundle() { 67e4f69d78Ssfencevma val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // from store_s0, store mask, send to sq from rs 68e4f69d78Ssfencevma val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // from store_s1 69e4f69d78Ssfencevma val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // from store_s2 70e4f69d78Ssfencevma } 71e4f69d78Ssfencevma val std = new Bundle() { 72e4f69d78Ssfencevma val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput))) // from store_s0, store data, send to sq from rs 73e4f69d78Ssfencevma } 7414a67055Ssfencevma val ldout = Vec(LoadPipelineWidth, DecoupledIO(new ExuOutput)) 7514a67055Ssfencevma val ld_raw_data = Vec(LoadPipelineWidth, Output(new LoadDataFromLQBundle)) 76e4f69d78Ssfencevma val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle)) 77*0d32f713Shappy-lx val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) 781b7adedcSWilliam Wang val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 799aca92b9SYinan Xu val rob = Flipped(new RobLsqIO) 80c7658a75SYinan Xu val rollback = Output(Valid(new Redirect)) 81e4f69d78Ssfencevma val release = Flipped(Valid(new Release)) 82e4f69d78Ssfencevma val refill = Flipped(Valid(new Refill)) 839444e131Ssfencevma val tl_d_channel = Input(new DcacheToLduForwardIO) 84e4f69d78Ssfencevma val uncacheOutstanding = Input(Bool()) 856786cfb7SWilliam Wang val uncache = new UncacheWordIO 86e4f69d78Ssfencevma val mmioStout = DecoupledIO(new ExuOutput) // writeback uncached store 87e4f69d78Ssfencevma val sqEmpty = Output(Bool()) 8814a67055Ssfencevma val lq_rep_full = Output(Bool()) 89edd6ddbcSwakafa val sqFull = Output(Bool()) 90edd6ddbcSwakafa val lqFull = Output(Bool()) 9110551d4eSYinan Xu val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize+1).W)) 92e4f69d78Ssfencevma val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize+1).W)) 93e4f69d78Ssfencevma val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 9446f74b57SHaojin Tang val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 95d2b20d1aSTang Haojin val lqCanAccept = Output(Bool()) 96d2b20d1aSTang Haojin val sqCanAccept = Output(Bool()) 97e4f69d78Ssfencevma val exceptionAddr = new ExceptionAddrIO 98b978565cSWilliam Wang val trigger = Vec(LoadPipelineWidth, new LqTriggerIO) 99e4f69d78Ssfencevma val issuePtrExt = Output(new SqPtr) 10014a67055Ssfencevma val l2_hint = Input(Valid(new L2ToL1Hint())) 1012fdb4d6aShappy-lx val force_write = Output(Bool()) 102*0d32f713Shappy-lx val lqEmpty = Output(Bool()) 103c7658a75SYinan Xu }) 104c7658a75SYinan Xu 105c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 106c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 107c7658a75SYinan Xu 1085668a921SJiawei Lin storeQueue.io.hartId := io.hartId 10937225120Ssfencevma storeQueue.io.uncacheOutstanding := io.uncacheOutstanding 1105668a921SJiawei Lin 111a760aeb0Shappy-lx 112a760aeb0Shappy-lx dontTouch(loadQueue.io.tlbReplayDelayCycleCtrl) 1138a610956Ssfencevma val tlbReplayDelayCycleCtrl = WireInit(VecInit(Seq(14.U(ReSelectLen.W), 0.U(ReSelectLen.W), 125.U(ReSelectLen.W), 0.U(ReSelectLen.W)))) 114a760aeb0Shappy-lx loadQueue.io.tlbReplayDelayCycleCtrl := tlbReplayDelayCycleCtrl 115a760aeb0Shappy-lx 11608fafef0SYinan Xu // io.enq logic 11708fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 11808fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 11908fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 120d2b20d1aSTang Haojin io.lqCanAccept := loadQueue.io.enq.canAccept 121d2b20d1aSTang Haojin io.sqCanAccept := storeQueue.io.enq.canAccept 12203f2ceceSYinan Xu loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 12303f2ceceSYinan Xu storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 1247057cff8SYinan Xu for (i <- io.enq.req.indices) { 125049559e7SYinan Xu loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 126049559e7SYinan Xu loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 12708fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 1287057cff8SYinan Xu loadQueue.io.enq.req(i).bits.sqIdx := storeQueue.io.enq.resp(i) 129780ade3fSYinan Xu 130049559e7SYinan Xu storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 131049559e7SYinan Xu storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 13208fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 1337057cff8SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 1347057cff8SYinan Xu storeQueue.io.enq.req(i).bits.lqIdx := loadQueue.io.enq.resp(i) 135780ade3fSYinan Xu 13608fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 13708fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 13808fafef0SYinan Xu } 13908fafef0SYinan Xu 140e4f69d78Ssfencevma // store queue wiring 141e4f69d78Ssfencevma storeQueue.io.brqRedirect <> io.brqRedirect 142e4f69d78Ssfencevma storeQueue.io.storeAddrIn <> io.sta.storeAddrIn // from store_s1 143e4f69d78Ssfencevma storeQueue.io.storeAddrInRe <> io.sta.storeAddrInRe // from store_s2 144e4f69d78Ssfencevma storeQueue.io.storeDataIn <> io.std.storeDataIn // from store_s0 145e4f69d78Ssfencevma storeQueue.io.storeMaskIn <> io.sta.storeMaskIn // from store_s0 146e4f69d78Ssfencevma storeQueue.io.sbuffer <> io.sbuffer 147e4f69d78Ssfencevma storeQueue.io.mmioStout <> io.mmioStout 148e4f69d78Ssfencevma storeQueue.io.rob <> io.rob 149e4f69d78Ssfencevma storeQueue.io.exceptionAddr.isStore := DontCare 150e4f69d78Ssfencevma storeQueue.io.sqCancelCnt <> io.sqCancelCnt 151e4f69d78Ssfencevma storeQueue.io.sqDeq <> io.sqDeq 152e4f69d78Ssfencevma storeQueue.io.sqEmpty <> io.sqEmpty 153e4f69d78Ssfencevma storeQueue.io.sqFull <> io.sqFull 154e4f69d78Ssfencevma storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 1552fdb4d6aShappy-lx storeQueue.io.force_write <> io.force_write 156e4f69d78Ssfencevma 157e4f69d78Ssfencevma /* <------- DANGEROUS: Don't change sequence here ! -------> */ 158e4f69d78Ssfencevma 159c7658a75SYinan Xu // load queue wiring 160e4f69d78Ssfencevma loadQueue.io.redirect <> io.brqRedirect 161e4f69d78Ssfencevma loadQueue.io.ldu <> io.ldu 16214a67055Ssfencevma loadQueue.io.ldout <> io.ldout 16314a67055Ssfencevma loadQueue.io.ld_raw_data <> io.ld_raw_data 1649aca92b9SYinan Xu loadQueue.io.rob <> io.rob 165c7658a75SYinan Xu loadQueue.io.rollback <> io.rollback 166e4f69d78Ssfencevma loadQueue.io.replay <> io.replay 16709203307SWilliam Wang loadQueue.io.refill <> io.refill 1689444e131Ssfencevma loadQueue.io.tl_d_channel <> io.tl_d_channel 16967682d05SWilliam Wang loadQueue.io.release <> io.release 170b978565cSWilliam Wang loadQueue.io.trigger <> io.trigger 171c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 17210551d4eSYinan Xu loadQueue.io.lqCancelCnt <> io.lqCancelCnt 173e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadySqPtr <> storeQueue.io.stAddrReadySqPtr 174e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadyVec <> storeQueue.io.stAddrReadyVec 175e4f69d78Ssfencevma loadQueue.io.sq.stDataReadySqPtr <> storeQueue.io.stDataReadySqPtr 176e4f69d78Ssfencevma loadQueue.io.sq.stDataReadyVec <> storeQueue.io.stDataReadyVec 177e4f69d78Ssfencevma loadQueue.io.sq.stIssuePtr <> storeQueue.io.stIssuePtr 178e4f69d78Ssfencevma loadQueue.io.sq.sqEmpty <> storeQueue.io.sqEmpty 179e4f69d78Ssfencevma loadQueue.io.sta.storeAddrIn <> io.sta.storeAddrIn // store_s1 180e4f69d78Ssfencevma loadQueue.io.std.storeDataIn <> io.std.storeDataIn // store_s0 181e4f69d78Ssfencevma loadQueue.io.lqFull <> io.lqFull 18214a67055Ssfencevma loadQueue.io.lq_rep_full <> io.lq_rep_full 183e4f69d78Ssfencevma loadQueue.io.lqDeq <> io.lqDeq 18414a67055Ssfencevma loadQueue.io.l2_hint <> io.l2_hint 185*0d32f713Shappy-lx loadQueue.io.lqEmpty <> io.lqEmpty 1862dcbb932SWilliam Wang 1878a33de1fSYinan Xu // rob commits for lsq is delayed for two cycles, which causes the delayed update for deqPtr in lq/sq 1888a33de1fSYinan Xu // s0: commit 1898a33de1fSYinan Xu // s1: exception find 1908a33de1fSYinan Xu // s2: exception triggered 1918a33de1fSYinan Xu // s3: ptr updated & new address 1928a33de1fSYinan Xu // address will be used at the next cycle after exception is triggered 1938a33de1fSYinan Xu io.exceptionAddr.vaddr := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 194e4f69d78Ssfencevma io.issuePtrExt := storeQueue.io.stAddrReadySqPtr 195c7658a75SYinan Xu 196c7658a75SYinan Xu // naive uncache arbiter 197c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 19810aac6e7SWilliam Wang val pendingstate = RegInit(s_idle) 199c7658a75SYinan Xu 20010aac6e7SWilliam Wang switch(pendingstate){ 201c7658a75SYinan Xu is(s_idle){ 20237225120Ssfencevma when(io.uncache.req.fire() && !io.uncacheOutstanding){ 20337225120Ssfencevma pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, 20437225120Ssfencevma Mux(io.uncacheOutstanding, s_idle, s_store)) 205c7658a75SYinan Xu } 206c7658a75SYinan Xu } 207c7658a75SYinan Xu is(s_load){ 208c7658a75SYinan Xu when(io.uncache.resp.fire()){ 20910aac6e7SWilliam Wang pendingstate := s_idle 210c7658a75SYinan Xu } 211c7658a75SYinan Xu } 212c7658a75SYinan Xu is(s_store){ 213c7658a75SYinan Xu when(io.uncache.resp.fire()){ 21410aac6e7SWilliam Wang pendingstate := s_idle 215c7658a75SYinan Xu } 216c7658a75SYinan Xu } 217c7658a75SYinan Xu } 218c7658a75SYinan Xu 219c7658a75SYinan Xu loadQueue.io.uncache := DontCare 220c7658a75SYinan Xu storeQueue.io.uncache := DontCare 221c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 222c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 223c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 224c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 225c7658a75SYinan Xu }.otherwise{ 226c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 227c7658a75SYinan Xu } 22837225120Ssfencevma when (io.uncacheOutstanding) { 22937225120Ssfencevma io.uncache.resp <> loadQueue.io.uncache.resp 23037225120Ssfencevma } .otherwise { 23110aac6e7SWilliam Wang when(pendingstate === s_load){ 232c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 233c7658a75SYinan Xu }.otherwise{ 234c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 235c7658a75SYinan Xu } 23637225120Ssfencevma } 23737225120Ssfencevma 238c7658a75SYinan Xu 239c7658a75SYinan Xu assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 240c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 24137225120Ssfencevma when (!io.uncacheOutstanding) { 24210aac6e7SWilliam Wang assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 24337225120Ssfencevma } 244c7658a75SYinan Xu 245cd365d4cSrvcoresjw 2461ca0e4f3SYinan Xu val perfEvents = Seq(loadQueue, storeQueue).flatMap(_.getPerfEvents) 2471ca0e4f3SYinan Xu generatePerfEvent() 248c7658a75SYinan Xu} 24910551d4eSYinan Xu 25010551d4eSYinan Xuclass LsqEnqCtrl(implicit p: Parameters) extends XSModule { 25110551d4eSYinan Xu val io = IO(new Bundle { 25210551d4eSYinan Xu val redirect = Flipped(ValidIO(new Redirect)) 25310551d4eSYinan Xu // to dispatch 25410551d4eSYinan Xu val enq = new LsqEnqIO 255e4f69d78Ssfencevma // from `memBlock.io.lqDeq 25610551d4eSYinan Xu val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 25746f74b57SHaojin Tang // from `memBlock.io.sqDeq` 25846f74b57SHaojin Tang val scommit = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 25910551d4eSYinan Xu // from/tp lsq 260e4f69d78Ssfencevma val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 26110551d4eSYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 26210551d4eSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 26310551d4eSYinan Xu }) 26410551d4eSYinan Xu 26510551d4eSYinan Xu val lqPtr = RegInit(0.U.asTypeOf(new LqPtr)) 26610551d4eSYinan Xu val sqPtr = RegInit(0.U.asTypeOf(new SqPtr)) 267e4f69d78Ssfencevma val lqCounter = RegInit(VirtualLoadQueueSize.U(log2Up(VirtualLoadQueueSize + 1).W)) 26810551d4eSYinan Xu val sqCounter = RegInit(StoreQueueSize.U(log2Up(StoreQueueSize + 1).W)) 26910551d4eSYinan Xu val canAccept = RegInit(false.B) 27010551d4eSYinan Xu 27110551d4eSYinan Xu val loadEnqNumber = PopCount(io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(0))) 27210551d4eSYinan Xu val storeEnqNumber = PopCount(io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(1))) 27310551d4eSYinan Xu 27410551d4eSYinan Xu // How to update ptr and counter: 27510551d4eSYinan Xu // (1) by default, updated according to enq/commit 27610551d4eSYinan Xu // (2) when redirect and dispatch queue is empty, update according to lsq 27710551d4eSYinan Xu val t1_redirect = RegNext(io.redirect.valid) 27810551d4eSYinan Xu val t2_redirect = RegNext(t1_redirect) 27910551d4eSYinan Xu val t2_update = t2_redirect && !VecInit(io.enq.needAlloc.map(_.orR)).asUInt.orR 28010551d4eSYinan Xu val t3_update = RegNext(t2_update) 28110551d4eSYinan Xu val t3_lqCancelCnt = RegNext(io.lqCancelCnt) 28210551d4eSYinan Xu val t3_sqCancelCnt = RegNext(io.sqCancelCnt) 28310551d4eSYinan Xu when (t3_update) { 28410551d4eSYinan Xu lqPtr := lqPtr - t3_lqCancelCnt 28510551d4eSYinan Xu lqCounter := lqCounter + io.lcommit + t3_lqCancelCnt 28610551d4eSYinan Xu sqPtr := sqPtr - t3_sqCancelCnt 28710551d4eSYinan Xu sqCounter := sqCounter + io.scommit + t3_sqCancelCnt 28810551d4eSYinan Xu }.elsewhen (!io.redirect.valid && io.enq.canAccept) { 28910551d4eSYinan Xu lqPtr := lqPtr + loadEnqNumber 29010551d4eSYinan Xu lqCounter := lqCounter + io.lcommit - loadEnqNumber 29110551d4eSYinan Xu sqPtr := sqPtr + storeEnqNumber 29210551d4eSYinan Xu sqCounter := sqCounter + io.scommit - storeEnqNumber 29310551d4eSYinan Xu }.otherwise { 29410551d4eSYinan Xu lqCounter := lqCounter + io.lcommit 29510551d4eSYinan Xu sqCounter := sqCounter + io.scommit 29610551d4eSYinan Xu } 29710551d4eSYinan Xu 29810551d4eSYinan Xu 29910551d4eSYinan Xu val maxAllocate = Seq(exuParameters.LduCnt, exuParameters.StuCnt).max 30010551d4eSYinan Xu val ldCanAccept = lqCounter >= loadEnqNumber +& maxAllocate.U 30110551d4eSYinan Xu val sqCanAccept = sqCounter >= storeEnqNumber +& maxAllocate.U 30210551d4eSYinan Xu // It is possible that t3_update and enq are true at the same clock cycle. 30310551d4eSYinan Xu // For example, if redirect.valid lasts more than one clock cycle, 30410551d4eSYinan Xu // after the last redirect, new instructions may enter but previously redirect 30510551d4eSYinan Xu // has not been resolved (updated according to the cancel count from LSQ). 30610551d4eSYinan Xu // To solve the issue easily, we block enqueue when t3_update, which is RegNext(t2_update). 30710551d4eSYinan Xu io.enq.canAccept := RegNext(ldCanAccept && sqCanAccept && !t2_update) 30810551d4eSYinan Xu val lqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W))) 30910551d4eSYinan Xu val sqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W))) 31010551d4eSYinan Xu for ((resp, i) <- io.enq.resp.zipWithIndex) { 31110551d4eSYinan Xu lqOffset(i) := PopCount(io.enq.needAlloc.take(i).map(a => a(0))) 31210551d4eSYinan Xu resp.lqIdx := lqPtr + lqOffset(i) 31310551d4eSYinan Xu sqOffset(i) := PopCount(io.enq.needAlloc.take(i).map(a => a(1))) 31410551d4eSYinan Xu resp.sqIdx := sqPtr + sqOffset(i) 31510551d4eSYinan Xu } 31610551d4eSYinan Xu 31710551d4eSYinan Xu io.enqLsq.needAlloc := RegNext(io.enq.needAlloc) 31810551d4eSYinan Xu io.enqLsq.req.zip(io.enq.req).zip(io.enq.resp).foreach{ case ((toLsq, enq), resp) => 31910551d4eSYinan Xu val do_enq = enq.valid && !io.redirect.valid && io.enq.canAccept 32010551d4eSYinan Xu toLsq.valid := RegNext(do_enq) 32110551d4eSYinan Xu toLsq.bits := RegEnable(enq.bits, do_enq) 32210551d4eSYinan Xu toLsq.bits.lqIdx := RegEnable(resp.lqIdx, do_enq) 32310551d4eSYinan Xu toLsq.bits.sqIdx := RegEnable(resp.sqIdx, do_enq) 32410551d4eSYinan Xu } 32510551d4eSYinan Xu 32610551d4eSYinan Xu}