1c7658a75SYinan Xupackage xiangshan.mem 2c7658a75SYinan Xu 3c7658a75SYinan Xuimport chisel3._ 4c7658a75SYinan Xuimport chisel3.util._ 5c7658a75SYinan Xuimport utils._ 6c7658a75SYinan Xuimport xiangshan._ 7c7658a75SYinan Xuimport xiangshan.cache._ 8c7658a75SYinan Xuimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, TlbRequestIO, MemoryOpConstants} 9c7658a75SYinan Xuimport xiangshan.backend.LSUOpType 10c7658a75SYinan Xuimport xiangshan.mem._ 11c7658a75SYinan Xuimport xiangshan.backend.roq.RoqPtr 12c7658a75SYinan Xu 13c7658a75SYinan Xuclass ExceptionAddrIO extends XSBundle { 14c7658a75SYinan Xu val lsIdx = Input(new LSIdx) 15c7658a75SYinan Xu val isStore = Input(Bool()) 16c7658a75SYinan Xu val vaddr = Output(UInt(VAddrBits.W)) 17c7658a75SYinan Xu} 18c7658a75SYinan Xu 19c7658a75SYinan Xu 200bd67ba5SYinan Xuclass LsqEntry extends XSBundle { 21c7658a75SYinan Xu val vaddr = UInt(VAddrBits.W) // TODO: need opt 22c7658a75SYinan Xu val paddr = UInt(PAddrBits.W) 23c7658a75SYinan Xu val mask = UInt(8.W) 24c7658a75SYinan Xu val data = UInt(XLEN.W) 25c7658a75SYinan Xu val exception = UInt(16.W) // TODO: opt size 26c7658a75SYinan Xu val mmio = Bool() 27c7658a75SYinan Xu val fwdMask = Vec(8, Bool()) 28c7658a75SYinan Xu val fwdData = Vec(8, UInt(8.W)) 29c7658a75SYinan Xu} 30c7658a75SYinan Xu 31eb8f00f4SWilliam Wang 32eb8f00f4SWilliam Wangclass LSQueueData(size: Int, nchannel: Int) extends XSModule with HasDCacheParameters with HasCircularQueuePtrHelper { 33eb8f00f4SWilliam Wang val io = IO(new Bundle() { 34eb8f00f4SWilliam Wang val wb = Vec(nchannel, new Bundle() { 35eb8f00f4SWilliam Wang val wen = Input(Bool()) 36eb8f00f4SWilliam Wang val index = Input(UInt(log2Up(size).W)) 376161a0eeSWilliam Wang val wdata = Input(new LsqEntry) 38eb8f00f4SWilliam Wang }) 39eb8f00f4SWilliam Wang val uncache = new Bundle() { 40eb8f00f4SWilliam Wang val wen = Input(Bool()) 41eb8f00f4SWilliam Wang val index = Input(UInt(log2Up(size).W)) 42eb8f00f4SWilliam Wang val wdata = Input(UInt(XLEN.W)) 43eb8f00f4SWilliam Wang } 44eb8f00f4SWilliam Wang val refill = new Bundle() { 45eb8f00f4SWilliam Wang val wen = Input(Vec(size, Bool())) 46eb8f00f4SWilliam Wang val dcache = Input(new DCacheLineResp) 47eb8f00f4SWilliam Wang } 48eb8f00f4SWilliam Wang val needForward = Input(Vec(nchannel, Vec(2, UInt(size.W)))) 49eb8f00f4SWilliam Wang val forward = Vec(nchannel, Flipped(new LoadForwardQueryIO)) 506161a0eeSWilliam Wang val rdata = Output(Vec(size, new LsqEntry)) 51eb8f00f4SWilliam Wang 52eb8f00f4SWilliam Wang // val debug = new Bundle() { 536161a0eeSWilliam Wang // val debug_data = Vec(LoadQueueSize, new LsqEntry) 54eb8f00f4SWilliam Wang // } 55eb8f00f4SWilliam Wang 566161a0eeSWilliam Wang def wbWrite(channel: Int, index: UInt, wdata: LsqEntry): Unit = { 57eb8f00f4SWilliam Wang require(channel < nchannel && channel >= 0) 58eb8f00f4SWilliam Wang // need extra "this.wb(channel).wen := true.B" 59eb8f00f4SWilliam Wang this.wb(channel).index := index 60eb8f00f4SWilliam Wang this.wb(channel).wdata := wdata 61eb8f00f4SWilliam Wang } 62eb8f00f4SWilliam Wang 63eb8f00f4SWilliam Wang def uncacheWrite(index: UInt, wdata: UInt): Unit = { 64eb8f00f4SWilliam Wang // need extra "this.uncache.wen := true.B" 65eb8f00f4SWilliam Wang this.uncache.index := index 66eb8f00f4SWilliam Wang this.uncache.wdata := wdata 67eb8f00f4SWilliam Wang } 68eb8f00f4SWilliam Wang 69eb8f00f4SWilliam Wang def forwardQuery(channel: Int, paddr: UInt, needForward1: Data, needForward2: Data): Unit = { 70eb8f00f4SWilliam Wang this.needForward(channel)(0) := needForward1 71eb8f00f4SWilliam Wang this.needForward(channel)(1) := needForward2 72eb8f00f4SWilliam Wang this.forward(channel).paddr := paddr 73eb8f00f4SWilliam Wang } 74eb8f00f4SWilliam Wang 75eb8f00f4SWilliam Wang // def refillWrite(ldIdx: Int): Unit = { 76eb8f00f4SWilliam Wang // } 77eb8f00f4SWilliam Wang // use "this.refill.wen(ldIdx) := true.B" instead 78eb8f00f4SWilliam Wang }) 79eb8f00f4SWilliam Wang 80eb8f00f4SWilliam Wang io := DontCare 81eb8f00f4SWilliam Wang 826161a0eeSWilliam Wang val data = Reg(Vec(size, new LsqEntry)) 83eb8f00f4SWilliam Wang 84eb8f00f4SWilliam Wang // writeback to lq/sq 85eb8f00f4SWilliam Wang (0 until 2).map(i => { 86eb8f00f4SWilliam Wang when(io.wb(i).wen){ 87eb8f00f4SWilliam Wang data(io.wb(i).index) := io.wb(i).wdata 88eb8f00f4SWilliam Wang } 89eb8f00f4SWilliam Wang }) 90eb8f00f4SWilliam Wang 91eb8f00f4SWilliam Wang when(io.uncache.wen){ 92eb8f00f4SWilliam Wang data(io.uncache.index).data := io.uncache.wdata 93eb8f00f4SWilliam Wang } 94eb8f00f4SWilliam Wang 95eb8f00f4SWilliam Wang // refill missed load 96eb8f00f4SWilliam Wang def mergeRefillData(refill: UInt, fwd: UInt, fwdMask: UInt): UInt = { 97eb8f00f4SWilliam Wang val res = Wire(Vec(8, UInt(8.W))) 98eb8f00f4SWilliam Wang (0 until 8).foreach(i => { 99eb8f00f4SWilliam Wang res(i) := Mux(fwdMask(i), fwd(8 * (i + 1) - 1, 8 * i), refill(8 * (i + 1) - 1, 8 * i)) 100eb8f00f4SWilliam Wang }) 101eb8f00f4SWilliam Wang res.asUInt 102eb8f00f4SWilliam Wang } 103eb8f00f4SWilliam Wang 104eb8f00f4SWilliam Wang // split dcache result into words 105eb8f00f4SWilliam Wang val words = VecInit((0 until blockWords) map { i => 106eb8f00f4SWilliam Wang io.refill.dcache.data(DataBits * (i + 1) - 1, DataBits * i) 107eb8f00f4SWilliam Wang }) 108eb8f00f4SWilliam Wang 109eb8f00f4SWilliam Wang 110eb8f00f4SWilliam Wang (0 until size).map(i => { 111eb8f00f4SWilliam Wang when(io.refill.wen(i) ){ 112eb8f00f4SWilliam Wang val refillData = words(get_word(data(i).paddr)) 113eb8f00f4SWilliam Wang data(i).data := mergeRefillData(refillData, data(i).fwdData.asUInt, data(i).fwdMask.asUInt) 114eb8f00f4SWilliam Wang XSDebug("miss resp: pos %d addr %x data %x + %x(%b)\n", i.U, data(i).paddr, refillData, data(i).fwdData.asUInt, data(i).fwdMask.asUInt) 115eb8f00f4SWilliam Wang } 116eb8f00f4SWilliam Wang }) 117eb8f00f4SWilliam Wang 118eb8f00f4SWilliam Wang // forwarding 119eb8f00f4SWilliam Wang // Compare ringBufferTail (deqPtr) and forward.sqIdx, we have two cases: 120eb8f00f4SWilliam Wang // (1) if they have the same flag, we need to check range(tail, sqIdx) 121eb8f00f4SWilliam Wang // (2) if they have different flags, we need to check range(tail, LoadQueueSize) and range(0, sqIdx) 122eb8f00f4SWilliam Wang // Forward1: Mux(same_flag, range(tail, sqIdx), range(tail, LoadQueueSize)) 123eb8f00f4SWilliam Wang // Forward2: Mux(same_flag, 0.U, range(0, sqIdx) ) 124eb8f00f4SWilliam Wang // i.e. forward1 is the target entries with the same flag bits and forward2 otherwise 125eb8f00f4SWilliam Wang 126eb8f00f4SWilliam Wang // entry with larger index should have higher priority since it's data is younger 127eb8f00f4SWilliam Wang (0 until nchannel).map(i => { 128eb8f00f4SWilliam Wang 129eb8f00f4SWilliam Wang val forwardMask1 = WireInit(VecInit(Seq.fill(8)(false.B))) 130eb8f00f4SWilliam Wang val forwardData1 = WireInit(VecInit(Seq.fill(8)(0.U(8.W)))) 131eb8f00f4SWilliam Wang val forwardMask2 = WireInit(VecInit(Seq.fill(8)(false.B))) 132eb8f00f4SWilliam Wang val forwardData2 = WireInit(VecInit(Seq.fill(8)(0.U(8.W)))) 133eb8f00f4SWilliam Wang 134eb8f00f4SWilliam Wang for (j <- 0 until size) { 135eb8f00f4SWilliam Wang val needCheck = io.forward(i).paddr(PAddrBits - 1, 3) === data(j).paddr(PAddrBits - 1, 3) 136eb8f00f4SWilliam Wang (0 until XLEN / 8).foreach(k => { 137eb8f00f4SWilliam Wang when (needCheck && data(j).mask(k)) { 138eb8f00f4SWilliam Wang when (io.needForward(i)(0)(j)) { 139eb8f00f4SWilliam Wang forwardMask1(k) := true.B 140eb8f00f4SWilliam Wang forwardData1(k) := data(j).data(8 * (k + 1) - 1, 8 * k) 141eb8f00f4SWilliam Wang } 142eb8f00f4SWilliam Wang when (io.needForward(i)(1)(j)) { 143eb8f00f4SWilliam Wang forwardMask2(k) := true.B 144eb8f00f4SWilliam Wang forwardData2(k) := data(j).data(8 * (k + 1) - 1, 8 * k) 145eb8f00f4SWilliam Wang } 146eb8f00f4SWilliam Wang XSDebug(io.needForward(i)(0)(j) || io.needForward(i)(1)(j), 147eb8f00f4SWilliam Wang p"forwarding $k-th byte ${Hexadecimal(data(j).data(8 * (k + 1) - 1, 8 * k))} " + 148eb8f00f4SWilliam Wang p"from ptr $j\n") 149eb8f00f4SWilliam Wang } 150eb8f00f4SWilliam Wang }) 151eb8f00f4SWilliam Wang } 152eb8f00f4SWilliam Wang 153eb8f00f4SWilliam Wang // merge forward lookup results 154eb8f00f4SWilliam Wang // forward2 is younger than forward1 and should have higher priority 155eb8f00f4SWilliam Wang (0 until XLEN / 8).map(k => { 156eb8f00f4SWilliam Wang io.forward(i).forwardMask(k) := forwardMask1(k) || forwardMask2(k) 157eb8f00f4SWilliam Wang io.forward(i).forwardData(k) := Mux(forwardMask2(k), forwardData2(k), forwardData1(k)) 158eb8f00f4SWilliam Wang }) 159eb8f00f4SWilliam Wang }) 160eb8f00f4SWilliam Wang 161eb8f00f4SWilliam Wang // data read 162eb8f00f4SWilliam Wang io.rdata := data 163eb8f00f4SWilliam Wang // io.debug.debug_data := data 164eb8f00f4SWilliam Wang} 165eb8f00f4SWilliam Wang 166c7658a75SYinan Xu// inflight miss block reqs 167c7658a75SYinan Xuclass InflightBlockInfo extends XSBundle { 168c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 169c7658a75SYinan Xu val valid = Bool() 170c7658a75SYinan Xu} 171c7658a75SYinan Xu 172c7658a75SYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 173c7658a75SYinan Xuclass LsqWrappper extends XSModule with HasDCacheParameters { 174c7658a75SYinan Xu val io = IO(new Bundle() { 175*08fafef0SYinan Xu val enq = new Bundle() { 176*08fafef0SYinan Xu val canAccept = Output(Bool()) 177*08fafef0SYinan Xu val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp))) 178*08fafef0SYinan Xu val resp = Vec(RenameWidth, Output(new LSIdx)) 179*08fafef0SYinan Xu } 180c7658a75SYinan Xu val brqRedirect = Input(Valid(new Redirect)) 181c7658a75SYinan Xu val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle))) 182c7658a75SYinan Xu val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 183c7658a75SYinan Xu val sbuffer = Vec(StorePipelineWidth, Decoupled(new DCacheWordReq)) 184c7658a75SYinan Xu val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback store 185c7658a75SYinan Xu val stout = Vec(2, DecoupledIO(new ExuOutput)) // writeback store 186c7658a75SYinan Xu val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO)) 187c7658a75SYinan Xu val commits = Flipped(Vec(CommitWidth, Valid(new RoqCommit))) 188c7658a75SYinan Xu val rollback = Output(Valid(new Redirect)) 189c7658a75SYinan Xu val dcache = new DCacheLineIO 190c7658a75SYinan Xu val uncache = new DCacheWordIO 191c7658a75SYinan Xu val roqDeqPtr = Input(new RoqPtr) 192c7658a75SYinan Xu val oldestStore = Output(Valid(new RoqPtr)) 193c7658a75SYinan Xu val exceptionAddr = new ExceptionAddrIO 194c7658a75SYinan Xu }) 195c7658a75SYinan Xu 196c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 197c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 198c7658a75SYinan Xu 199*08fafef0SYinan Xu // io.enq logic 200*08fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 201*08fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 202*08fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 203*08fafef0SYinan Xu for (i <- 0 until RenameWidth) { 204*08fafef0SYinan Xu val isStore = CommitType.lsInstIsStore(io.enq.req(i).bits.ctrl.commitType) 205*08fafef0SYinan Xu loadQueue.io.enq.req(i).valid := !isStore && io.enq.req(i).valid 206*08fafef0SYinan Xu storeQueue.io.enq.req(i).valid := isStore && io.enq.req(i).valid 207*08fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 208*08fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 209*08fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 210*08fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 211*08fafef0SYinan Xu 212*08fafef0SYinan Xu XSError(!io.enq.canAccept && io.enq.req(i).valid, "should not enqueue LSQ when not") 213*08fafef0SYinan Xu } 214*08fafef0SYinan Xu 215c7658a75SYinan Xu // load queue wiring 216c7658a75SYinan Xu loadQueue.io.brqRedirect <> io.brqRedirect 217c7658a75SYinan Xu loadQueue.io.loadIn <> io.loadIn 218c7658a75SYinan Xu loadQueue.io.storeIn <> io.storeIn 219c7658a75SYinan Xu loadQueue.io.ldout <> io.ldout 220c7658a75SYinan Xu loadQueue.io.commits <> io.commits 221c7658a75SYinan Xu loadQueue.io.rollback <> io.rollback 222c7658a75SYinan Xu loadQueue.io.dcache <> io.dcache 223c7658a75SYinan Xu loadQueue.io.roqDeqPtr <> io.roqDeqPtr 224c7658a75SYinan Xu loadQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 225c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 226c7658a75SYinan Xu 227c7658a75SYinan Xu // store queue wiring 228c7658a75SYinan Xu // storeQueue.io <> DontCare 229c7658a75SYinan Xu storeQueue.io.brqRedirect <> io.brqRedirect 230c7658a75SYinan Xu storeQueue.io.storeIn <> io.storeIn 231c7658a75SYinan Xu storeQueue.io.sbuffer <> io.sbuffer 232c7658a75SYinan Xu storeQueue.io.stout <> io.stout 233c7658a75SYinan Xu storeQueue.io.commits <> io.commits 234c7658a75SYinan Xu storeQueue.io.roqDeqPtr <> io.roqDeqPtr 235c7658a75SYinan Xu storeQueue.io.oldestStore <> io.oldestStore 236c7658a75SYinan Xu storeQueue.io.exceptionAddr.lsIdx := io.exceptionAddr.lsIdx 237c7658a75SYinan Xu storeQueue.io.exceptionAddr.isStore := DontCare 238c7658a75SYinan Xu 239c7658a75SYinan Xu loadQueue.io.forward <> io.forward 240c7658a75SYinan Xu storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 241c7658a75SYinan Xu 242c7658a75SYinan Xu io.exceptionAddr.vaddr := Mux(io.exceptionAddr.isStore, storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 243c7658a75SYinan Xu 244c7658a75SYinan Xu // naive uncache arbiter 245c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 246c7658a75SYinan Xu val uncacheState = RegInit(s_idle) 247c7658a75SYinan Xu 248c7658a75SYinan Xu switch(uncacheState){ 249c7658a75SYinan Xu is(s_idle){ 250c7658a75SYinan Xu when(io.uncache.req.fire()){ 251c7658a75SYinan Xu uncacheState := Mux(loadQueue.io.uncache.req.valid, s_load, s_store) 252c7658a75SYinan Xu } 253c7658a75SYinan Xu } 254c7658a75SYinan Xu is(s_load){ 255c7658a75SYinan Xu when(io.uncache.resp.fire()){ 256c7658a75SYinan Xu uncacheState := s_idle 257c7658a75SYinan Xu } 258c7658a75SYinan Xu } 259c7658a75SYinan Xu is(s_store){ 260c7658a75SYinan Xu when(io.uncache.resp.fire()){ 261c7658a75SYinan Xu uncacheState := s_idle 262c7658a75SYinan Xu } 263c7658a75SYinan Xu } 264c7658a75SYinan Xu } 265c7658a75SYinan Xu 266c7658a75SYinan Xu loadQueue.io.uncache := DontCare 267c7658a75SYinan Xu storeQueue.io.uncache := DontCare 268c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 269c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 270c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 271c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 272c7658a75SYinan Xu }.otherwise{ 273c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 274c7658a75SYinan Xu } 275c7658a75SYinan Xu when(uncacheState === s_load){ 276c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 277c7658a75SYinan Xu }.otherwise{ 278c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 279c7658a75SYinan Xu } 280c7658a75SYinan Xu 281c7658a75SYinan Xu assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 282c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 283c7658a75SYinan Xu assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && uncacheState === s_idle)) 284c7658a75SYinan Xu 285c7658a75SYinan Xu} 286