1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.diplomacy._ 23import freechips.rocketchip.diplomacy.{BundleBridgeSource, LazyModule, LazyModuleImp} 24import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortSimple} 25import freechips.rocketchip.tile.HasFPUParameters 26import freechips.rocketchip.tilelink._ 27import device.MsiInfoBundle 28import utils._ 29import utility._ 30import system.SoCParamsKey 31import xiangshan._ 32import xiangshan.ExceptionNO._ 33import xiangshan.frontend.HasInstrMMIOConst 34import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 35import xiangshan.backend.ctrlblock.{DebugLSIO, LsTopdownInfo} 36import xiangshan.backend.exu.MemExeUnit 37import xiangshan.backend.fu._ 38import xiangshan.backend.fu.FuType._ 39import xiangshan.backend.fu.NewCSR.{CsrTriggerBundle, TriggerUtil, PFEvent} 40import xiangshan.backend.fu.util.{CSRConst, SdtrigExt} 41import xiangshan.backend.{BackendToTopBundle, TopToBackendBundle} 42import xiangshan.backend.rob.{RobDebugRollingIO, RobPtr, RobLsqIO} 43import xiangshan.backend.datapath.NewPipelineConnect 44import xiangshan.backend.trace.{Itype, TraceCoreInterface} 45import xiangshan.backend.Bundles._ 46import xiangshan.mem._ 47import xiangshan.mem.mdp._ 48import xiangshan.mem.Bundles._ 49import xiangshan.mem.prefetch.{BasePrefecher, L1Prefetcher, SMSParams, SMSPrefetcher} 50import xiangshan.cache._ 51import xiangshan.cache.mmu._ 52import coupledL2.PrefetchRecv 53import utility.mbist.{MbistInterface, MbistPipeline} 54import utility.sram.{SramBroadcastBundle, SramHelper} 55trait HasMemBlockParameters extends HasXSParameter { 56 // number of memory units 57 val LduCnt = backendParams.LduCnt 58 val StaCnt = backendParams.StaCnt 59 val StdCnt = backendParams.StdCnt 60 val HyuCnt = backendParams.HyuCnt 61 val VlduCnt = backendParams.VlduCnt 62 val VstuCnt = backendParams.VstuCnt 63 64 val LdExuCnt = LduCnt + HyuCnt 65 val StAddrCnt = StaCnt + HyuCnt 66 val StDataCnt = StdCnt 67 val MemExuCnt = LduCnt + HyuCnt + StaCnt + StdCnt 68 val MemAddrExtCnt = LdExuCnt + StaCnt 69 val MemVExuCnt = VlduCnt + VstuCnt 70 71 val AtomicWBPort = 0 72 val MisalignWBPort = 1 73 val UncacheWBPort = 2 74 val NCWBPorts = Seq(1, 2) 75} 76 77abstract class MemBlockBundle(implicit val p: Parameters) extends Bundle with HasMemBlockParameters 78 79class Std(cfg: FuConfig)(implicit p: Parameters) extends FuncUnit(cfg) { 80 io.in.ready := io.out.ready 81 io.out.valid := io.in.valid 82 io.out.bits := 0.U.asTypeOf(io.out.bits) 83 io.out.bits.res.data := io.in.bits.data.src(0) 84 io.out.bits.ctrl.robIdx := io.in.bits.ctrl.robIdx 85} 86 87class ooo_to_mem(implicit p: Parameters) extends MemBlockBundle { 88 val backendToTopBypass = Flipped(new BackendToTopBundle) 89 90 val loadFastMatch = Vec(LdExuCnt, Input(UInt(LdExuCnt.W))) 91 val loadFastFuOpType = Vec(LdExuCnt, Input(FuOpType())) 92 val loadFastImm = Vec(LdExuCnt, Input(UInt(12.W))) 93 val sfence = Input(new SfenceBundle) 94 val tlbCsr = Input(new TlbCsrBundle) 95 val lsqio = new Bundle { 96 val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 97 val scommit = Input(UInt(log2Up(CommitWidth + 1).W)) 98 val pendingMMIOld = Input(Bool()) 99 val pendingld = Input(Bool()) 100 val pendingst = Input(Bool()) 101 val pendingVst = Input(Bool()) 102 val commit = Input(Bool()) 103 val pendingPtr = Input(new RobPtr) 104 val pendingPtrNext = Input(new RobPtr) 105 } 106 107 val isStoreException = Input(Bool()) 108 val isVlsException = Input(Bool()) 109 val csrCtrl = Flipped(new CustomCSRCtrlIO) 110 val enqLsq = new LsqEnqIO 111 val flushSb = Input(Bool()) 112 113 val storePc = Vec(StaCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 114 val hybridPc = Vec(HyuCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 115 116 val issueLda = MixedVec(Seq.fill(LduCnt)(Flipped(DecoupledIO(new MemExuInput)))) 117 val issueSta = MixedVec(Seq.fill(StaCnt)(Flipped(DecoupledIO(new MemExuInput)))) 118 val issueStd = MixedVec(Seq.fill(StdCnt)(Flipped(DecoupledIO(new MemExuInput)))) 119 val issueHya = MixedVec(Seq.fill(HyuCnt)(Flipped(DecoupledIO(new MemExuInput)))) 120 val issueVldu = MixedVec(Seq.fill(VlduCnt)(Flipped(DecoupledIO(new MemExuInput(isVector=true))))) 121 122 def issueUops = issueLda ++ issueSta ++ issueStd ++ issueHya ++ issueVldu 123} 124 125class mem_to_ooo(implicit p: Parameters) extends MemBlockBundle { 126 val topToBackendBypass = new TopToBackendBundle 127 128 val otherFastWakeup = Vec(LdExuCnt, ValidIO(new DynInst)) 129 val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 130 val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W)) 131 val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 132 val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 133 // used by VLSU issue queue, the vector store would wait all store before it, and the vector load would wait all load 134 val sqDeqPtr = Output(new SqPtr) 135 val lqDeqPtr = Output(new LqPtr) 136 val stIn = Vec(StAddrCnt, ValidIO(new MemExuInput)) 137 val stIssuePtr = Output(new SqPtr()) 138 139 val memoryViolation = ValidIO(new Redirect) 140 val sbIsEmpty = Output(Bool()) 141 142 val lsTopdownInfo = Vec(LdExuCnt, Output(new LsTopdownInfo)) 143 144 val lsqio = new Bundle { 145 val vaddr = Output(UInt(XLEN.W)) 146 val vstart = Output(UInt((log2Up(VLEN) + 1).W)) 147 val vl = Output(UInt((log2Up(VLEN) + 1).W)) 148 val gpaddr = Output(UInt(XLEN.W)) 149 val isForVSnonLeafPTE = Output(Bool()) 150 val mmio = Output(Vec(LoadPipelineWidth, Bool())) 151 val uop = Output(Vec(LoadPipelineWidth, new DynInst)) 152 val lqCanAccept = Output(Bool()) 153 val sqCanAccept = Output(Bool()) 154 } 155 156 val storeDebugInfo = Vec(EnsbufferWidth, new Bundle { 157 val robidx = Output(new RobPtr) 158 val pc = Input(UInt(VAddrBits.W)) 159 }) 160 161 val writebackLda = Vec(LduCnt, DecoupledIO(new MemExuOutput)) 162 val writebackSta = Vec(StaCnt, DecoupledIO(new MemExuOutput)) 163 val writebackStd = Vec(StdCnt, DecoupledIO(new MemExuOutput)) 164 val writebackHyuLda = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 165 val writebackHyuSta = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 166 val writebackVldu = Vec(VlduCnt, DecoupledIO(new MemExuOutput(isVector = true))) 167 def writeBack: Seq[DecoupledIO[MemExuOutput]] = { 168 writebackSta ++ 169 writebackHyuLda ++ writebackHyuSta ++ 170 writebackLda ++ 171 writebackVldu ++ 172 writebackStd 173 } 174 175 val ldaIqFeedback = Vec(LduCnt, new MemRSFeedbackIO) 176 val staIqFeedback = Vec(StaCnt, new MemRSFeedbackIO) 177 val hyuIqFeedback = Vec(HyuCnt, new MemRSFeedbackIO) 178 val vstuIqFeedback= Vec(VstuCnt, new MemRSFeedbackIO(isVector = true)) 179 val vlduIqFeedback= Vec(VlduCnt, new MemRSFeedbackIO(isVector = true)) 180 val ldCancel = Vec(backendParams.LdExuCnt, new LoadCancelIO) 181 val wakeup = Vec(backendParams.LdExuCnt, Valid(new DynInst)) 182 183 val s3_delayed_load_error = Vec(LdExuCnt, Output(Bool())) 184} 185 186class MemCoreTopDownIO extends Bundle { 187 val robHeadMissInDCache = Output(Bool()) 188 val robHeadTlbReplay = Output(Bool()) 189 val robHeadTlbMiss = Output(Bool()) 190 val robHeadLoadVio = Output(Bool()) 191 val robHeadLoadMSHR = Output(Bool()) 192} 193 194class fetch_to_mem(implicit p: Parameters) extends XSBundle{ 195 val itlb = Flipped(new TlbPtwIO()) 196} 197 198// triple buffer applied in i-mmio path (two at MemBlock, one at L2Top) 199class InstrUncacheBuffer()(implicit p: Parameters) extends LazyModule with HasInstrMMIOConst { 200 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 201 lazy val module = new InstrUncacheBufferImpl 202 203 class InstrUncacheBufferImpl extends LazyModuleImp(this) { 204 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 205 out.a <> BufferParams.default(BufferParams.default(in.a)) 206 in.d <> BufferParams.default(BufferParams.default(out.d)) 207 208 // only a.valid, a.ready, a.address can change 209 // hoping that the rest would be optimized to keep MemBlock port unchanged after adding buffer 210 out.a.bits.data := 0.U 211 out.a.bits.mask := Fill(mmioBusBytes, 1.U(1.W)) 212 out.a.bits.opcode := 4.U // Get 213 out.a.bits.size := log2Ceil(mmioBusBytes).U 214 out.a.bits.source := 0.U 215 } 216 } 217} 218 219// triple buffer applied in L1I$-L2 path (two at MemBlock, one at L2Top) 220class ICacheBuffer()(implicit p: Parameters) extends LazyModule { 221 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 222 lazy val module = new ICacheBufferImpl 223 224 class ICacheBufferImpl extends LazyModuleImp(this) { 225 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 226 out.a <> BufferParams.default(BufferParams.default(in.a)) 227 in.d <> BufferParams.default(BufferParams.default(out.d)) 228 } 229 } 230} 231 232class ICacheCtrlBuffer()(implicit p: Parameters) extends LazyModule { 233 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 234 lazy val module = new ICacheCtrlBufferImpl 235 236 class ICacheCtrlBufferImpl extends LazyModuleImp(this) { 237 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 238 out.a <> BufferParams.default(BufferParams.default(in.a)) 239 in.d <> BufferParams.default(BufferParams.default(out.d)) 240 } 241 } 242} 243 244// Frontend bus goes through MemBlock 245class FrontendBridge()(implicit p: Parameters) extends LazyModule { 246 val icache_node = LazyModule(new ICacheBuffer()).suggestName("icache").node// to keep IO port name 247 val icachectrl_node = LazyModule(new ICacheCtrlBuffer()).suggestName("icachectrl").node 248 val instr_uncache_node = LazyModule(new InstrUncacheBuffer()).suggestName("instr_uncache").node 249 lazy val module = new LazyModuleImp(this) { 250 } 251} 252 253class MemBlockInlined()(implicit p: Parameters) extends LazyModule 254 with HasXSParameter { 255 override def shouldBeInlined: Boolean = true 256 257 val dcache = LazyModule(new DCacheWrapper()) 258 val uncache = LazyModule(new Uncache()) 259 val uncache_port = TLTempNode() 260 val uncache_xbar = TLXbar() 261 val ptw = LazyModule(new L2TLBWrapper()) 262 val ptw_to_l2_buffer = if (!coreParams.softPTW) LazyModule(new TLBuffer) else null 263 val l1d_to_l2_buffer = if (coreParams.dcacheParametersOpt.nonEmpty) LazyModule(new TLBuffer) else null 264 val dcache_port = TLNameNode("dcache_client") // to keep dcache-L2 port name 265 val l2_pf_sender_opt = coreParams.prefetcher.map(_ => 266 BundleBridgeSource(() => new PrefetchRecv) 267 ) 268 val l3_pf_sender_opt = if (p(SoCParamsKey).L3CacheParamsOpt.nonEmpty) coreParams.prefetcher.map(_ => 269 BundleBridgeSource(() => new huancun.PrefetchRecv) 270 ) else None 271 val frontendBridge = LazyModule(new FrontendBridge) 272 // interrupt sinks 273 val clint_int_sink = IntSinkNode(IntSinkPortSimple(1, 2)) 274 val debug_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 275 val plic_int_sink = IntSinkNode(IntSinkPortSimple(2, 1)) 276 val nmi_int_sink = IntSinkNode(IntSinkPortSimple(1, (new NonmaskableInterruptIO).elements.size)) 277 278 if (!coreParams.softPTW) { 279 ptw_to_l2_buffer.node := ptw.node 280 } 281 uncache_xbar := TLBuffer() := uncache.clientNode 282 if (dcache.uncacheNode.isDefined) { 283 dcache.uncacheNode.get := TLBuffer.chainNode(2) := uncache_xbar 284 } 285 uncache_port := TLBuffer.chainNode(2) := uncache_xbar 286 287 lazy val module = new MemBlockInlinedImp(this) 288} 289 290class MemBlockInlinedImp(outer: MemBlockInlined) extends LazyModuleImp(outer) 291 with HasXSParameter 292 with HasFPUParameters 293 with HasPerfEvents 294 with HasL1PrefetchSourceParameter 295 with HasCircularQueuePtrHelper 296 with HasMemBlockParameters 297 with HasTlbConst 298 with SdtrigExt 299{ 300 val io = IO(new Bundle { 301 val hartId = Input(UInt(hartIdLen.W)) 302 val redirect = Flipped(ValidIO(new Redirect)) 303 304 val ooo_to_mem = new ooo_to_mem 305 val mem_to_ooo = new mem_to_ooo 306 val fetch_to_mem = new fetch_to_mem 307 308 val ifetchPrefetch = Vec(LduCnt, ValidIO(new SoftIfetchPrefetchBundle)) 309 310 // misc 311 val error = ValidIO(new L1CacheErrorInfo) 312 val memInfo = new Bundle { 313 val sqFull = Output(Bool()) 314 val lqFull = Output(Bool()) 315 val dcacheMSHRFull = Output(Bool()) 316 } 317 val debug_ls = new DebugLSIO 318 val l2_hint = Input(Valid(new L2ToL1Hint())) 319 val l2PfqBusy = Input(Bool()) 320 val l2_tlb_req = Flipped(new TlbRequestIO(nRespDups = 2)) 321 val l2_pmp_resp = new PMPRespBundle 322 val l2_flush_done = Input(Bool()) 323 324 val debugTopDown = new Bundle { 325 val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 326 val toCore = new MemCoreTopDownIO 327 } 328 val debugRolling = Flipped(new RobDebugRollingIO) 329 330 // All the signals from/to frontend/backend to/from bus will go through MemBlock 331 val fromTopToBackend = Input(new Bundle { 332 val msiInfo = ValidIO(new MsiInfoBundle) 333 val clintTime = ValidIO(UInt(64.W)) 334 }) 335 val inner_hartId = Output(UInt(hartIdLen.W)) 336 val inner_reset_vector = Output(UInt(PAddrBits.W)) 337 val outer_reset_vector = Input(UInt(PAddrBits.W)) 338 val outer_cpu_halt = Output(Bool()) 339 val outer_l2_flush_en = Output(Bool()) 340 val outer_power_down_en = Output(Bool()) 341 val outer_cpu_critical_error = Output(Bool()) 342 val inner_beu_errors_icache = Input(new L1BusErrorUnitInfo) 343 val outer_beu_errors_icache = Output(new L1BusErrorUnitInfo) 344 val inner_hc_perfEvents = Output(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 345 val outer_hc_perfEvents = Input(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 346 347 // reset signals of frontend & backend are generated in memblock 348 val reset_backend = Output(Reset()) 349 // Reset singal from frontend. 350 val resetInFrontendBypass = new Bundle{ 351 val fromFrontend = Input(Bool()) 352 val toL2Top = Output(Bool()) 353 } 354 val traceCoreInterfaceBypass = new Bundle{ 355 val fromBackend = Flipped(new TraceCoreInterface(hasOffset = true)) 356 val toL2Top = new TraceCoreInterface 357 } 358 359 val topDownInfo = new Bundle { 360 val fromL2Top = Input(new TopDownFromL2Top) 361 val toBackend = Flipped(new TopDownInfo) 362 } 363 val dft = if (hasMbist) Some(Input(new SramBroadcastBundle)) else None 364 val dft_reset = if(hasMbist) Some(Input(new DFTResetSignals())) else None 365 val dft_frnt = if (hasMbist) Some(Output(new SramBroadcastBundle)) else None 366 val dft_reset_frnt = if(hasMbist) Some(Output(new DFTResetSignals())) else None 367 val dft_bcknd = if (hasMbist) Some(Output(new SramBroadcastBundle)) else None 368 val dft_reset_bcknd = if(hasMbist) Some(Output(new DFTResetSignals())) else None 369 }) 370 371 dontTouch(io.inner_hartId) 372 dontTouch(io.inner_reset_vector) 373 dontTouch(io.outer_reset_vector) 374 dontTouch(io.outer_cpu_halt) 375 dontTouch(io.outer_l2_flush_en) 376 dontTouch(io.outer_power_down_en) 377 dontTouch(io.outer_cpu_critical_error) 378 dontTouch(io.inner_beu_errors_icache) 379 dontTouch(io.outer_beu_errors_icache) 380 dontTouch(io.inner_hc_perfEvents) 381 dontTouch(io.outer_hc_perfEvents) 382 383 val redirect = RegNextWithEnable(io.redirect) 384 385 private val dcache = outer.dcache.module 386 val uncache = outer.uncache.module 387 388 //val delayedDcacheRefill = RegNext(dcache.io.lsu.lsq) 389 390 val csrCtrl = DelayN(io.ooo_to_mem.csrCtrl, 2) 391 dcache.io.l2_pf_store_only := RegNext(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_store_only, false.B) 392 io.error <> DelayNWithValid(dcache.io.error, 2) 393 when(!csrCtrl.cache_error_enable){ 394 io.error.bits.report_to_beu := false.B 395 io.error.valid := false.B 396 } 397 398 val loadUnits = Seq.fill(LduCnt)(Module(new LoadUnit)) 399 val storeUnits = Seq.fill(StaCnt)(Module(new StoreUnit)) 400 val stdExeUnits = Seq.fill(StdCnt)(Module(new MemExeUnit(backendParams.memSchdParams.get.issueBlockParams.find(_.StdCnt != 0).get.exuBlockParams.head))) 401 val hybridUnits = Seq.fill(HyuCnt)(Module(new HybridUnit)) // Todo: replace it with HybridUnit 402 val stData = stdExeUnits.map(_.io.out) 403 val exeUnits = loadUnits ++ storeUnits 404 405 // The number of vector load/store units is decoupled with the number of load/store units 406 val vlSplit = Seq.fill(VlduCnt)(Module(new VLSplitImp)) 407 val vsSplit = Seq.fill(VstuCnt)(Module(new VSSplitImp)) 408 val vlMergeBuffer = Module(new VLMergeBufferImp) 409 val vsMergeBuffer = Seq.fill(VstuCnt)(Module(new VSMergeBufferImp)) 410 val vSegmentUnit = Module(new VSegmentUnit) 411 val vfofBuffer = Module(new VfofBuffer) 412 413 // misalign Buffer 414 val loadMisalignBuffer = Module(new LoadMisalignBuffer) 415 val storeMisalignBuffer = Module(new StoreMisalignBuffer) 416 417 val l1_pf_req = Wire(Decoupled(new L1PrefetchReq())) 418 dcache.io.sms_agt_evict_req.ready := false.B 419 val prefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 420 case _: SMSParams => 421 val sms = Module(new SMSPrefetcher()) 422 sms.io_agt_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_agt, 2, Some(false.B)) 423 sms.io_pht_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_pht, 2, Some(false.B)) 424 sms.io_act_threshold := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_threshold, 2, Some(12.U)) 425 sms.io_act_stride := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_stride, 2, Some(30.U)) 426 sms.io_stride_en := false.B 427 sms.io_dcache_evict <> dcache.io.sms_agt_evict_req 428 val mbistSmsPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeSms", hasMbist) 429 sms 430 } 431 prefetcherOpt.foreach{ pf => pf.io.l1_req.ready := false.B } 432 val hartId = p(XSCoreParamsKey).HartId 433 val l1PrefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 434 case _ => 435 val l1Prefetcher = Module(new L1Prefetcher()) 436 l1Prefetcher.io.enable := Constantin.createRecord(s"enableL1StreamPrefetcher$hartId", initValue = true) 437 l1Prefetcher.pf_ctrl <> dcache.io.pf_ctrl 438 l1Prefetcher.l2PfqBusy := io.l2PfqBusy 439 440 // stride will train on miss or prefetch hit 441 for (i <- 0 until LduCnt) { 442 val source = loadUnits(i).io.prefetch_train_l1 443 l1Prefetcher.stride_train(i).valid := source.valid && source.bits.isFirstIssue && ( 444 source.bits.miss || isFromStride(source.bits.meta_prefetch) 445 ) 446 l1Prefetcher.stride_train(i).bits := source.bits 447 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 448 l1Prefetcher.stride_train(i).bits.uop.pc := Mux( 449 loadUnits(i).io.s2_ptr_chasing, 450 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 451 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 452 ) 453 } 454 for (i <- 0 until HyuCnt) { 455 val source = hybridUnits(i).io.prefetch_train_l1 456 l1Prefetcher.stride_train.drop(LduCnt)(i).valid := source.valid && source.bits.isFirstIssue && ( 457 source.bits.miss || isFromStride(source.bits.meta_prefetch) 458 ) 459 l1Prefetcher.stride_train.drop(LduCnt)(i).bits := source.bits 460 l1Prefetcher.stride_train.drop(LduCnt)(i).bits.uop.pc := Mux( 461 hybridUnits(i).io.ldu_io.s2_ptr_chasing, 462 RegNext(io.ooo_to_mem.hybridPc(i)), 463 RegNext(RegNext(io.ooo_to_mem.hybridPc(i))) 464 ) 465 } 466 l1Prefetcher 467 } 468 // load prefetch to l1 Dcache 469 l1PrefetcherOpt match { 470 case Some(pf) => l1_pf_req <> Pipeline(in = pf.io.l1_req, depth = 1, pipe = false, name = Some("pf_queue_to_ldu_reg")) 471 case None => 472 l1_pf_req.valid := false.B 473 l1_pf_req.bits := DontCare 474 } 475 val pf_train_on_hit = RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_train_on_hit, 2, Some(true.B)) 476 477 loadUnits.zipWithIndex.map(x => x._1.suggestName("LoadUnit_"+x._2)) 478 storeUnits.zipWithIndex.map(x => x._1.suggestName("StoreUnit_"+x._2)) 479 hybridUnits.zipWithIndex.map(x => x._1.suggestName("HybridUnit_"+x._2)) 480 val atomicsUnit = Module(new AtomicsUnit) 481 482 483 val ldaExeWbReqs = Wire(Vec(LduCnt, Decoupled(new MemExuOutput))) 484 // atomicsUnit will overwrite the source from ldu if it is about to writeback 485 val atomicWritebackOverride = Mux( 486 atomicsUnit.io.out.valid, 487 atomicsUnit.io.out.bits, 488 loadUnits(AtomicWBPort).io.ldout.bits 489 ) 490 ldaExeWbReqs(AtomicWBPort).valid := atomicsUnit.io.out.valid || loadUnits(AtomicWBPort).io.ldout.valid 491 ldaExeWbReqs(AtomicWBPort).bits := atomicWritebackOverride 492 atomicsUnit.io.out.ready := ldaExeWbReqs(AtomicWBPort).ready 493 loadUnits(AtomicWBPort).io.ldout.ready := ldaExeWbReqs(AtomicWBPort).ready 494 495 val st_data_atomics = Seq.tabulate(StdCnt)(i => 496 stData(i).valid && FuType.storeIsAMO(stData(i).bits.uop.fuType) 497 ) 498 499 // misalignBuffer will overwrite the source from ldu if it is about to writeback 500 val misalignWritebackOverride = Mux( 501 loadUnits(MisalignWBPort).io.ldout.valid, 502 loadUnits(MisalignWBPort).io.ldout.bits, 503 loadMisalignBuffer.io.writeBack.bits 504 ) 505 ldaExeWbReqs(MisalignWBPort).valid := loadMisalignBuffer.io.writeBack.valid || loadUnits(MisalignWBPort).io.ldout.valid 506 ldaExeWbReqs(MisalignWBPort).bits := misalignWritebackOverride 507 loadMisalignBuffer.io.writeBack.ready := ldaExeWbReqs(MisalignWBPort).ready && !loadUnits(MisalignWBPort).io.ldout.valid 508 loadMisalignBuffer.io.loadOutValid := loadUnits(MisalignWBPort).io.ldout.valid 509 loadMisalignBuffer.io.loadVecOutValid := loadUnits(MisalignWBPort).io.vecldout.valid 510 loadUnits(MisalignWBPort).io.ldout.ready := ldaExeWbReqs(MisalignWBPort).ready 511 ldaExeWbReqs(MisalignWBPort).bits.isFromLoadUnit := loadUnits(MisalignWBPort).io.ldout.bits.isFromLoadUnit || loadMisalignBuffer.io.writeBack.valid 512 513 // loadUnit will overwrite the source from uncache if it is about to writeback 514 ldaExeWbReqs(UncacheWBPort) <> loadUnits(UncacheWBPort).io.ldout 515 io.mem_to_ooo.writebackLda <> ldaExeWbReqs 516 io.mem_to_ooo.writebackSta <> storeUnits.map(_.io.stout) 517 io.mem_to_ooo.writebackStd.zip(stdExeUnits).foreach {x => 518 x._1.bits := x._2.io.out.bits 519 // AMOs do not need to write back std now. 520 x._1.valid := x._2.io.out.fire && !FuType.storeIsAMO(x._2.io.out.bits.uop.fuType) 521 } 522 io.mem_to_ooo.writebackHyuLda <> hybridUnits.map(_.io.ldout) 523 io.mem_to_ooo.writebackHyuSta <> hybridUnits.map(_.io.stout) 524 io.mem_to_ooo.otherFastWakeup := DontCare 525 io.mem_to_ooo.otherFastWakeup.drop(HyuCnt).take(LduCnt).zip(loadUnits.map(_.io.fast_uop)).foreach{case(a,b)=> a := b} 526 io.mem_to_ooo.otherFastWakeup.take(HyuCnt).zip(hybridUnits.map(_.io.ldu_io.fast_uop)).foreach{case(a,b)=> a:=b} 527 val stOut = io.mem_to_ooo.writebackSta ++ io.mem_to_ooo.writebackHyuSta 528 529 // prefetch to l1 req 530 // Stream's confidence is always 1 531 // (LduCnt + HyuCnt) l1_pf_reqs ? 532 loadUnits.foreach(load_unit => { 533 load_unit.io.prefetch_req.valid <> l1_pf_req.valid 534 load_unit.io.prefetch_req.bits <> l1_pf_req.bits 535 }) 536 537 hybridUnits.foreach(hybrid_unit => { 538 hybrid_unit.io.ldu_io.prefetch_req.valid <> l1_pf_req.valid 539 hybrid_unit.io.ldu_io.prefetch_req.bits <> l1_pf_req.bits 540 }) 541 542 // NOTE: loadUnits(0) has higher bank conflict and miss queue arb priority than loadUnits(1) and loadUnits(2) 543 // when loadUnits(1)/loadUnits(2) stage 0 is busy, hw prefetch will never use that pipeline 544 val LowConfPorts = if (LduCnt == 2) Seq(1) else if (LduCnt == 3) Seq(1, 2) else Seq(0) 545 LowConfPorts.map{case i => loadUnits(i).io.prefetch_req.bits.confidence := 0.U} 546 hybridUnits.foreach(hybrid_unit => { hybrid_unit.io.ldu_io.prefetch_req.bits.confidence := 0.U }) 547 548 val canAcceptHighConfPrefetch = loadUnits.map(_.io.canAcceptHighConfPrefetch) ++ 549 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 550 val canAcceptLowConfPrefetch = loadUnits.map(_.io.canAcceptLowConfPrefetch) ++ 551 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 552 l1_pf_req.ready := (0 until LduCnt + HyuCnt).map{ 553 case i => { 554 if (LowConfPorts.contains(i)) { 555 loadUnits(i).io.canAcceptLowConfPrefetch 556 } else { 557 Mux(l1_pf_req.bits.confidence === 1.U, canAcceptHighConfPrefetch(i), canAcceptLowConfPrefetch(i)) 558 } 559 } 560 }.reduce(_ || _) 561 562 // l1 pf fuzzer interface 563 val DebugEnableL1PFFuzzer = false 564 if (DebugEnableL1PFFuzzer) { 565 // l1 pf req fuzzer 566 val fuzzer = Module(new L1PrefetchFuzzer()) 567 fuzzer.io.vaddr := DontCare 568 fuzzer.io.paddr := DontCare 569 570 // override load_unit prefetch_req 571 loadUnits.foreach(load_unit => { 572 load_unit.io.prefetch_req.valid <> fuzzer.io.req.valid 573 load_unit.io.prefetch_req.bits <> fuzzer.io.req.bits 574 }) 575 576 // override hybrid_unit prefetch_req 577 hybridUnits.foreach(hybrid_unit => { 578 hybrid_unit.io.ldu_io.prefetch_req.valid <> fuzzer.io.req.valid 579 hybrid_unit.io.ldu_io.prefetch_req.bits <> fuzzer.io.req.bits 580 }) 581 582 fuzzer.io.req.ready := l1_pf_req.ready 583 } 584 585 // TODO: fast load wakeup 586 val lsq = Module(new LsqWrapper) 587 val sbuffer = Module(new Sbuffer) 588 // if you wants to stress test dcache store, use FakeSbuffer 589 // val sbuffer = Module(new FakeSbuffer) // out of date now 590 io.mem_to_ooo.stIssuePtr := lsq.io.issuePtrExt 591 592 dcache.io.hartId := io.hartId 593 lsq.io.hartId := io.hartId 594 sbuffer.io.hartId := io.hartId 595 atomicsUnit.io.hartId := io.hartId 596 597 dcache.io.lqEmpty := lsq.io.lqEmpty 598 599 // load/store prefetch to l2 cache 600 prefetcherOpt.foreach(sms_pf => { 601 l1PrefetcherOpt.foreach(l1_pf => { 602 val sms_pf_to_l2 = DelayNWithValid(sms_pf.io.l2_req, 2) 603 val l1_pf_to_l2 = DelayNWithValid(l1_pf.io.l2_req, 2) 604 605 outer.l2_pf_sender_opt.get.out.head._1.addr_valid := sms_pf_to_l2.valid || l1_pf_to_l2.valid 606 outer.l2_pf_sender_opt.get.out.head._1.addr := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.addr, sms_pf_to_l2.bits.addr) 607 outer.l2_pf_sender_opt.get.out.head._1.pf_source := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.source, sms_pf_to_l2.bits.source) 608 outer.l2_pf_sender_opt.get.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 2, Some(true.B)) 609 610 sms_pf.io.enable := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable, 2, Some(false.B)) 611 612 val l2_trace = Wire(new LoadPfDbBundle) 613 l2_trace.paddr := outer.l2_pf_sender_opt.get.out.head._1.addr 614 val table = ChiselDB.createTable(s"L2PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 615 table.log(l2_trace, l1_pf_to_l2.valid, "StreamPrefetchTrace", clock, reset) 616 table.log(l2_trace, !l1_pf_to_l2.valid && sms_pf_to_l2.valid, "L2PrefetchTrace", clock, reset) 617 618 val l1_pf_to_l3 = ValidIODelay(l1_pf.io.l3_req, 4) 619 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr_valid := l1_pf_to_l3.valid) 620 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr := l1_pf_to_l3.bits) 621 outer.l3_pf_sender_opt.foreach(_.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 4, Some(true.B))) 622 623 val l3_trace = Wire(new LoadPfDbBundle) 624 l3_trace.paddr := outer.l3_pf_sender_opt.map(_.out.head._1.addr).getOrElse(0.U) 625 val l3_table = ChiselDB.createTable(s"L3PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 626 l3_table.log(l3_trace, l1_pf_to_l3.valid, "StreamPrefetchTrace", clock, reset) 627 628 XSPerfAccumulate("prefetch_fire_l2", outer.l2_pf_sender_opt.get.out.head._1.addr_valid) 629 XSPerfAccumulate("prefetch_fire_l3", outer.l3_pf_sender_opt.map(_.out.head._1.addr_valid).getOrElse(false.B)) 630 XSPerfAccumulate("l1pf_fire_l2", l1_pf_to_l2.valid) 631 XSPerfAccumulate("sms_fire_l2", !l1_pf_to_l2.valid && sms_pf_to_l2.valid) 632 XSPerfAccumulate("sms_block_by_l1pf", l1_pf_to_l2.valid && sms_pf_to_l2.valid) 633 }) 634 }) 635 636 // ptw 637 val sfence = RegNext(RegNext(io.ooo_to_mem.sfence)) 638 val tlbcsr = RegNext(RegNext(io.ooo_to_mem.tlbCsr)) 639 private val ptw = outer.ptw.module 640 private val ptw_to_l2_buffer = outer.ptw_to_l2_buffer.module 641 private val l1d_to_l2_buffer = outer.l1d_to_l2_buffer.module 642 ptw.io.hartId := io.hartId 643 ptw.io.sfence <> sfence 644 ptw.io.csr.tlb <> tlbcsr 645 ptw.io.csr.distribute_csr <> csrCtrl.distribute_csr 646 647 val perfEventsPTW = if (!coreParams.softPTW) { 648 ptw.getPerfEvents 649 } else { 650 Seq() 651 } 652 653 // dtlb 654 val dtlb_ld_tlb_ld = Module(new TLBNonBlock(LduCnt + HyuCnt + 1, 2, ldtlbParams)) 655 val dtlb_st_tlb_st = Module(new TLBNonBlock(StaCnt, 1, sttlbParams)) 656 val dtlb_prefetch_tlb_prefetch = Module(new TLBNonBlock(2, 2, pftlbParams)) 657 val dtlb_ld = Seq(dtlb_ld_tlb_ld.io) 658 val dtlb_st = Seq(dtlb_st_tlb_st.io) 659 val dtlb_prefetch = Seq(dtlb_prefetch_tlb_prefetch.io) 660 /* tlb vec && constant variable */ 661 val dtlb = dtlb_ld ++ dtlb_st ++ dtlb_prefetch 662 val (dtlb_ld_idx, dtlb_st_idx, dtlb_pf_idx) = (0, 1, 2) 663 val TlbSubSizeVec = Seq(LduCnt + HyuCnt + 1, StaCnt, 2) // (load + hyu + stream pf, store, sms+l2bop) 664 val DTlbSize = TlbSubSizeVec.sum 665 val TlbStartVec = TlbSubSizeVec.scanLeft(0)(_ + _).dropRight(1) 666 val TlbEndVec = TlbSubSizeVec.scanLeft(0)(_ + _).drop(1) 667 668 val ptwio = Wire(new VectorTlbPtwIO(DTlbSize)) 669 val dtlb_reqs = dtlb.map(_.requestor).flatten 670 val dtlb_pmps = dtlb.map(_.pmp).flatten 671 dtlb.map(_.hartId := io.hartId) 672 dtlb.map(_.sfence := sfence) 673 dtlb.map(_.csr := tlbcsr) 674 dtlb.map(_.flushPipe.map(a => a := false.B)) // non-block doesn't need 675 dtlb.map(_.redirect := redirect) 676 if (refillBothTlb) { 677 require(ldtlbParams.outReplace == sttlbParams.outReplace) 678 require(ldtlbParams.outReplace == hytlbParams.outReplace) 679 require(ldtlbParams.outReplace == pftlbParams.outReplace) 680 require(ldtlbParams.outReplace) 681 682 val replace = Module(new TlbReplace(DTlbSize, ldtlbParams)) 683 replace.io.apply_sep(dtlb_ld.map(_.replace) ++ dtlb_st.map(_.replace) ++ dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 684 } else { 685 // TODO: there will be bugs in TlbReplace when outReplace enable, since the order of Hyu is not right. 686 if (ldtlbParams.outReplace) { 687 val replace_ld = Module(new TlbReplace(LduCnt + 1, ldtlbParams)) 688 replace_ld.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 689 } 690 if (hytlbParams.outReplace) { 691 val replace_hy = Module(new TlbReplace(HyuCnt, hytlbParams)) 692 replace_hy.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 693 } 694 if (sttlbParams.outReplace) { 695 val replace_st = Module(new TlbReplace(StaCnt, sttlbParams)) 696 replace_st.io.apply_sep(dtlb_st.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 697 } 698 if (pftlbParams.outReplace) { 699 val replace_pf = Module(new TlbReplace(2, pftlbParams)) 700 replace_pf.io.apply_sep(dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 701 } 702 } 703 704 val ptw_resp_next = RegEnable(ptwio.resp.bits, ptwio.resp.valid) 705 val ptw_resp_v = RegNext(ptwio.resp.valid && !(sfence.valid && tlbcsr.satp.changed && tlbcsr.vsatp.changed && tlbcsr.hgatp.changed), init = false.B) 706 ptwio.resp.ready := true.B 707 708 val tlbreplay = WireInit(VecInit(Seq.fill(LdExuCnt)(false.B))) 709 val tlbreplay_reg = GatedValidRegNext(tlbreplay) 710 val dtlb_ld0_tlbreplay_reg = GatedValidRegNext(dtlb_ld(0).tlbreplay) 711 712 if (backendParams.debugEn){ dontTouch(tlbreplay) } 713 714 for (i <- 0 until LdExuCnt) { 715 tlbreplay(i) := dtlb_ld(0).ptw.req(i).valid && ptw_resp_next.vector(0) && ptw_resp_v && 716 ptw_resp_next.data.hit(dtlb_ld(0).ptw.req(i).bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true) 717 } 718 719 dtlb.flatMap(a => a.ptw.req) 720 .zipWithIndex 721 .foreach{ case (tlb, i) => 722 tlb.ready := ptwio.req(i).ready 723 ptwio.req(i).bits := tlb.bits 724 val vector_hit = if (refillBothTlb) Cat(ptw_resp_next.vector).orR 725 else if (i < TlbEndVec(dtlb_ld_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR 726 else if (i < TlbEndVec(dtlb_st_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR 727 else Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR 728 ptwio.req(i).valid := tlb.valid && !(ptw_resp_v && vector_hit && ptw_resp_next.data.hit(tlb.bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true)) 729 } 730 dtlb.foreach(_.ptw.resp.bits := ptw_resp_next.data) 731 if (refillBothTlb) { 732 dtlb.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector).orR) 733 } else { 734 dtlb_ld.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR) 735 dtlb_st.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR) 736 dtlb_prefetch.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR) 737 } 738 dtlb_ld.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.take(LduCnt + HyuCnt + 1)).orR) 739 dtlb_st.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.slice(LduCnt + HyuCnt + 1, LduCnt + HyuCnt + 1 + StaCnt)).orR) 740 dtlb_prefetch.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.drop(LduCnt + HyuCnt + 1 + StaCnt)).orR) 741 742 val dtlbRepeater = PTWNewFilter(ldtlbParams.fenceDelay, ptwio, ptw.io.tlb(1), sfence, tlbcsr, l2tlbParams.dfilterSize) 743 val itlbRepeater3 = PTWRepeaterNB(passReady = false, itlbParams.fenceDelay, io.fetch_to_mem.itlb, ptw.io.tlb(0), sfence, tlbcsr) 744 745 lsq.io.debugTopDown.robHeadMissInDTlb := dtlbRepeater.io.rob_head_miss_in_tlb 746 747 // pmp 748 val pmp = Module(new PMP()) 749 pmp.io.distribute_csr <> csrCtrl.distribute_csr 750 751 val pmp_checkers = Seq.fill(DTlbSize)(Module(new PMPChecker(4, leaveHitMux = true))) 752 val pmp_check = pmp_checkers.map(_.io) 753 for ((p,d) <- pmp_check zip dtlb_pmps) { 754 if (HasBitmapCheck) { 755 p.apply(tlbcsr.mbmc.CMODE.asBool, tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 756 } else { 757 p.apply(tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 758 } 759 require(p.req.bits.size.getWidth == d.bits.size.getWidth) 760 } 761 762 for (i <- 0 until LduCnt) { 763 io.debug_ls.debugLsInfo(i) := loadUnits(i).io.debug_ls 764 } 765 for (i <- 0 until HyuCnt) { 766 io.debug_ls.debugLsInfo.drop(LduCnt)(i) := hybridUnits(i).io.ldu_io.debug_ls 767 } 768 for (i <- 0 until StaCnt) { 769 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt)(i) := storeUnits(i).io.debug_ls 770 } 771 for (i <- 0 until HyuCnt) { 772 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt + StaCnt)(i) := hybridUnits(i).io.stu_io.debug_ls 773 } 774 775 io.mem_to_ooo.lsTopdownInfo := loadUnits.map(_.io.lsTopdownInfo) ++ hybridUnits.map(_.io.ldu_io.lsTopdownInfo) 776 777 // trigger 778 val tdata = RegInit(VecInit(Seq.fill(TriggerNum)(0.U.asTypeOf(new MatchTriggerIO)))) 779 val tEnable = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) 780 tEnable := csrCtrl.mem_trigger.tEnableVec 781 when(csrCtrl.mem_trigger.tUpdate.valid) { 782 tdata(csrCtrl.mem_trigger.tUpdate.bits.addr) := csrCtrl.mem_trigger.tUpdate.bits.tdata 783 } 784 val triggerCanRaiseBpExp = csrCtrl.mem_trigger.triggerCanRaiseBpExp 785 val debugMode = csrCtrl.mem_trigger.debugMode 786 787 val backendTriggerTimingVec = VecInit(tdata.map(_.timing)) 788 val backendTriggerChainVec = VecInit(tdata.map(_.chain)) 789 790 XSDebug(tEnable.asUInt.orR, "Debug Mode: At least one store trigger is enabled\n") 791 for (j <- 0 until TriggerNum) 792 PrintTriggerInfo(tEnable(j), tdata(j)) 793 794 // The segment instruction is executed atomically. 795 // After the segment instruction directive starts executing, no other instructions should be executed. 796 val vSegmentFlag = RegInit(false.B) 797 798 when(GatedValidRegNext(vSegmentUnit.io.in.fire)) { 799 vSegmentFlag := true.B 800 }.elsewhen(GatedValidRegNext(vSegmentUnit.io.uopwriteback.valid)) { 801 vSegmentFlag := false.B 802 } 803 804 // LoadUnit 805 val correctMissTrain = Constantin.createRecord(s"CorrectMissTrain$hartId", initValue = false) 806 807 for (i <- 0 until LduCnt) { 808 loadUnits(i).io.redirect <> redirect 809 810 // get input form dispatch 811 loadUnits(i).io.ldin <> io.ooo_to_mem.issueLda(i) 812 loadUnits(i).io.feedback_slow <> io.mem_to_ooo.ldaIqFeedback(i).feedbackSlow 813 io.mem_to_ooo.ldaIqFeedback(i).feedbackFast := DontCare 814 loadUnits(i).io.correctMissTrain := correctMissTrain 815 io.mem_to_ooo.ldCancel.drop(HyuCnt)(i) := loadUnits(i).io.ldCancel 816 io.mem_to_ooo.wakeup.drop(HyuCnt)(i) := loadUnits(i).io.wakeup 817 818 // vector 819 if (i < VlduCnt) { 820 loadUnits(i).io.vecldout.ready := false.B 821 } else { 822 loadUnits(i).io.vecldin.valid := false.B 823 loadUnits(i).io.vecldin.bits := DontCare 824 loadUnits(i).io.vecldout.ready := false.B 825 } 826 827 // fast replay 828 loadUnits(i).io.fast_rep_in <> loadUnits(i).io.fast_rep_out 829 830 // SoftPrefetch to frontend (prefetch.i) 831 loadUnits(i).io.ifetchPrefetch <> io.ifetchPrefetch(i) 832 833 // dcache access 834 loadUnits(i).io.dcache <> dcache.io.lsu.load(i) 835 if(i == 0){ 836 vSegmentUnit.io.rdcache := DontCare 837 dcache.io.lsu.load(i).req.valid := loadUnits(i).io.dcache.req.valid || vSegmentUnit.io.rdcache.req.valid 838 dcache.io.lsu.load(i).req.bits := Mux1H(Seq( 839 vSegmentUnit.io.rdcache.req.valid -> vSegmentUnit.io.rdcache.req.bits, 840 loadUnits(i).io.dcache.req.valid -> loadUnits(i).io.dcache.req.bits 841 )) 842 vSegmentUnit.io.rdcache.req.ready := dcache.io.lsu.load(i).req.ready 843 } 844 845 // Dcache requests must also be preempted by the segment. 846 when(vSegmentFlag){ 847 loadUnits(i).io.dcache.req.ready := false.B // Dcache is preempted. 848 849 dcache.io.lsu.load(0).pf_source := vSegmentUnit.io.rdcache.pf_source 850 dcache.io.lsu.load(0).s1_paddr_dup_lsu := vSegmentUnit.io.rdcache.s1_paddr_dup_lsu 851 dcache.io.lsu.load(0).s1_paddr_dup_dcache := vSegmentUnit.io.rdcache.s1_paddr_dup_dcache 852 dcache.io.lsu.load(0).s1_kill := vSegmentUnit.io.rdcache.s1_kill 853 dcache.io.lsu.load(0).s2_kill := vSegmentUnit.io.rdcache.s2_kill 854 dcache.io.lsu.load(0).s0_pc := vSegmentUnit.io.rdcache.s0_pc 855 dcache.io.lsu.load(0).s1_pc := vSegmentUnit.io.rdcache.s1_pc 856 dcache.io.lsu.load(0).s2_pc := vSegmentUnit.io.rdcache.s2_pc 857 dcache.io.lsu.load(0).is128Req := vSegmentUnit.io.rdcache.is128Req 858 }.otherwise { 859 loadUnits(i).io.dcache.req.ready := dcache.io.lsu.load(i).req.ready 860 861 dcache.io.lsu.load(0).pf_source := loadUnits(0).io.dcache.pf_source 862 dcache.io.lsu.load(0).s1_paddr_dup_lsu := loadUnits(0).io.dcache.s1_paddr_dup_lsu 863 dcache.io.lsu.load(0).s1_paddr_dup_dcache := loadUnits(0).io.dcache.s1_paddr_dup_dcache 864 dcache.io.lsu.load(0).s1_kill := loadUnits(0).io.dcache.s1_kill 865 dcache.io.lsu.load(0).s2_kill := loadUnits(0).io.dcache.s2_kill 866 dcache.io.lsu.load(0).s0_pc := loadUnits(0).io.dcache.s0_pc 867 dcache.io.lsu.load(0).s1_pc := loadUnits(0).io.dcache.s1_pc 868 dcache.io.lsu.load(0).s2_pc := loadUnits(0).io.dcache.s2_pc 869 dcache.io.lsu.load(0).is128Req := loadUnits(0).io.dcache.is128Req 870 } 871 872 // forward 873 loadUnits(i).io.lsq.forward <> lsq.io.forward(i) 874 loadUnits(i).io.sbuffer <> sbuffer.io.forward(i) 875 loadUnits(i).io.ubuffer <> uncache.io.forward(i) 876 loadUnits(i).io.tl_d_channel := dcache.io.lsu.forward_D(i) 877 loadUnits(i).io.forward_mshr <> dcache.io.lsu.forward_mshr(i) 878 // ld-ld violation check 879 loadUnits(i).io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(i) 880 loadUnits(i).io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(i) 881 loadUnits(i).io.csrCtrl <> csrCtrl 882 // dcache refill req 883 // loadUnits(i).io.refill <> delayedDcacheRefill 884 // dtlb 885 loadUnits(i).io.tlb <> dtlb_reqs.take(LduCnt)(i) 886 if(i == 0 ){ // port 0 assign to vsegmentUnit 887 val vsegmentDtlbReqValid = vSegmentUnit.io.dtlb.req.valid // segment tlb resquest need to delay 1 cycle 888 dtlb_reqs.take(LduCnt)(i).req.valid := loadUnits(i).io.tlb.req.valid || RegNext(vsegmentDtlbReqValid) 889 vSegmentUnit.io.dtlb.req.ready := dtlb_reqs.take(LduCnt)(i).req.ready 890 dtlb_reqs.take(LduCnt)(i).req.bits := ParallelPriorityMux(Seq( 891 RegNext(vsegmentDtlbReqValid) -> RegEnable(vSegmentUnit.io.dtlb.req.bits, vsegmentDtlbReqValid), 892 loadUnits(i).io.tlb.req.valid -> loadUnits(i).io.tlb.req.bits 893 )) 894 } 895 // pmp 896 loadUnits(i).io.pmp <> pmp_check(i).resp 897 // st-ld violation query 898 val stld_nuke_query = storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query) 899 for (s <- 0 until StorePipelineWidth) { 900 loadUnits(i).io.stld_nuke_query(s) := stld_nuke_query(s) 901 } 902 loadUnits(i).io.lq_rep_full <> lsq.io.lq_rep_full 903 // load prefetch train 904 prefetcherOpt.foreach(pf => { 905 // sms will train on all miss load sources 906 val source = loadUnits(i).io.prefetch_train 907 pf.io.ld_in(i).valid := Mux(pf_train_on_hit, 908 source.valid, 909 source.valid && source.bits.isFirstIssue && source.bits.miss 910 ) 911 pf.io.ld_in(i).bits := source.bits 912 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 913 pf.io.ld_in(i).bits.uop.pc := Mux( 914 loadUnits(i).io.s2_ptr_chasing, 915 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 916 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 917 ) 918 }) 919 l1PrefetcherOpt.foreach(pf => { 920 // stream will train on all load sources 921 val source = loadUnits(i).io.prefetch_train_l1 922 pf.io.ld_in(i).valid := source.valid && source.bits.isFirstIssue 923 pf.io.ld_in(i).bits := source.bits 924 }) 925 926 // load to load fast forward: load(i) prefers data(i) 927 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 928 val fastPriority = (i until LduCnt + HyuCnt) ++ (0 until i) 929 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 930 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 931 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 932 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(i)(j)) 933 loadUnits(i).io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 934 loadUnits(i).io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 935 loadUnits(i).io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 936 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 937 loadUnits(i).io.ld_fast_match := fastMatch 938 loadUnits(i).io.ld_fast_imm := io.ooo_to_mem.loadFastImm(i) 939 loadUnits(i).io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(i) 940 loadUnits(i).io.replay <> lsq.io.replay(i) 941 942 val l2_hint = RegNext(io.l2_hint) 943 944 // L2 Hint for DCache 945 dcache.io.l2_hint <> l2_hint 946 947 loadUnits(i).io.l2_hint <> l2_hint 948 loadUnits(i).io.tlb_hint.id := dtlbRepeater.io.hint.get.req(i).id 949 loadUnits(i).io.tlb_hint.full := dtlbRepeater.io.hint.get.req(i).full || 950 tlbreplay_reg(i) || dtlb_ld0_tlbreplay_reg(i) 951 952 // passdown to lsq (load s2) 953 lsq.io.ldu.ldin(i) <> loadUnits(i).io.lsq.ldin 954 if (i == UncacheWBPort) { 955 lsq.io.ldout(i) <> loadUnits(i).io.lsq.uncache 956 } else { 957 lsq.io.ldout(i).ready := true.B 958 loadUnits(i).io.lsq.uncache.valid := false.B 959 loadUnits(i).io.lsq.uncache.bits := DontCare 960 } 961 lsq.io.ld_raw_data(i) <> loadUnits(i).io.lsq.ld_raw_data 962 lsq.io.ncOut(i) <> loadUnits(i).io.lsq.nc_ldin 963 lsq.io.l2_hint.valid := l2_hint.valid 964 lsq.io.l2_hint.bits.sourceId := l2_hint.bits.sourceId 965 lsq.io.l2_hint.bits.isKeyword := l2_hint.bits.isKeyword 966 967 lsq.io.tlb_hint <> dtlbRepeater.io.hint.get 968 969 // connect misalignBuffer 970 loadMisalignBuffer.io.req(i) <> loadUnits(i).io.misalign_buf 971 972 if (i == MisalignWBPort) { 973 loadUnits(i).io.misalign_ldin <> loadMisalignBuffer.io.splitLoadReq 974 loadUnits(i).io.misalign_ldout <> loadMisalignBuffer.io.splitLoadResp 975 } else { 976 loadUnits(i).io.misalign_ldin.valid := false.B 977 loadUnits(i).io.misalign_ldin.bits := DontCare 978 } 979 980 // alter writeback exception info 981 io.mem_to_ooo.s3_delayed_load_error(i) := loadUnits(i).io.s3_dly_ld_err 982 983 // update mem dependency predictor 984 // io.memPredUpdate(i) := DontCare 985 986 // -------------------------------- 987 // Load Triggers 988 // -------------------------------- 989 loadUnits(i).io.fromCsrTrigger.tdataVec := tdata 990 loadUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 991 loadUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 992 loadUnits(i).io.fromCsrTrigger.debugMode := debugMode 993 } 994 995 for (i <- 0 until HyuCnt) { 996 hybridUnits(i).io.redirect <> redirect 997 998 // get input from dispatch 999 hybridUnits(i).io.lsin <> io.ooo_to_mem.issueHya(i) 1000 hybridUnits(i).io.feedback_slow <> io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow 1001 hybridUnits(i).io.feedback_fast <> io.mem_to_ooo.hyuIqFeedback(i).feedbackFast 1002 hybridUnits(i).io.correctMissTrain := correctMissTrain 1003 io.mem_to_ooo.ldCancel.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.ldCancel 1004 io.mem_to_ooo.wakeup.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.wakeup 1005 1006 // ------------------------------------ 1007 // Load Port 1008 // ------------------------------------ 1009 // fast replay 1010 hybridUnits(i).io.ldu_io.fast_rep_in <> hybridUnits(i).io.ldu_io.fast_rep_out 1011 1012 // get input from dispatch 1013 hybridUnits(i).io.ldu_io.dcache <> dcache.io.lsu.load(LduCnt + i) 1014 hybridUnits(i).io.stu_io.dcache <> dcache.io.lsu.sta(StaCnt + i) 1015 1016 // dcache access 1017 hybridUnits(i).io.ldu_io.lsq.forward <> lsq.io.forward(LduCnt + i) 1018 // forward 1019 hybridUnits(i).io.ldu_io.sbuffer <> sbuffer.io.forward(LduCnt + i) 1020 hybridUnits(i).io.ldu_io.ubuffer <> uncache.io.forward(LduCnt + i) 1021 // hybridUnits(i).io.ldu_io.vec_forward <> vsFlowQueue.io.forward(LduCnt + i) 1022 hybridUnits(i).io.ldu_io.vec_forward := DontCare 1023 hybridUnits(i).io.ldu_io.tl_d_channel := dcache.io.lsu.forward_D(LduCnt + i) 1024 hybridUnits(i).io.ldu_io.forward_mshr <> dcache.io.lsu.forward_mshr(LduCnt + i) 1025 // ld-ld violation check 1026 hybridUnits(i).io.ldu_io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(LduCnt + i) 1027 hybridUnits(i).io.ldu_io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(LduCnt + i) 1028 hybridUnits(i).io.csrCtrl <> csrCtrl 1029 // dcache refill req 1030 hybridUnits(i).io.ldu_io.tlb_hint.id := dtlbRepeater.io.hint.get.req(LduCnt + i).id 1031 hybridUnits(i).io.ldu_io.tlb_hint.full := dtlbRepeater.io.hint.get.req(LduCnt + i).full || 1032 tlbreplay_reg(LduCnt + i) || dtlb_ld0_tlbreplay_reg(LduCnt + i) 1033 1034 // dtlb 1035 hybridUnits(i).io.tlb <> dtlb_ld.head.requestor(LduCnt + i) 1036 // pmp 1037 hybridUnits(i).io.pmp <> pmp_check.drop(LduCnt)(i).resp 1038 // st-ld violation query 1039 val stld_nuke_query = VecInit(storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query)) 1040 hybridUnits(i).io.ldu_io.stld_nuke_query := stld_nuke_query 1041 hybridUnits(i).io.ldu_io.lq_rep_full <> lsq.io.lq_rep_full 1042 // load prefetch train 1043 prefetcherOpt.foreach(pf => { 1044 val source = hybridUnits(i).io.prefetch_train 1045 pf.io.ld_in(LduCnt + i).valid := Mux(pf_train_on_hit, 1046 source.valid, 1047 source.valid && source.bits.isFirstIssue && source.bits.miss 1048 ) 1049 pf.io.ld_in(LduCnt + i).bits := source.bits 1050 pf.io.ld_in(LduCnt + i).bits.uop.pc := Mux(hybridUnits(i).io.ldu_io.s2_ptr_chasing, io.ooo_to_mem.hybridPc(i), RegNext(io.ooo_to_mem.hybridPc(i))) 1051 }) 1052 l1PrefetcherOpt.foreach(pf => { 1053 // stream will train on all load sources 1054 val source = hybridUnits(i).io.prefetch_train_l1 1055 pf.io.ld_in(LduCnt + i).valid := source.valid && source.bits.isFirstIssue && 1056 FuType.isLoad(source.bits.uop.fuType) 1057 pf.io.ld_in(LduCnt + i).bits := source.bits 1058 pf.io.st_in(StaCnt + i).valid := false.B 1059 pf.io.st_in(StaCnt + i).bits := DontCare 1060 }) 1061 prefetcherOpt.foreach(pf => { 1062 val source = hybridUnits(i).io.prefetch_train 1063 pf.io.st_in(StaCnt + i).valid := Mux(pf_train_on_hit, 1064 source.valid, 1065 source.valid && source.bits.isFirstIssue && source.bits.miss 1066 ) && FuType.isStore(source.bits.uop.fuType) 1067 pf.io.st_in(StaCnt + i).bits := source.bits 1068 pf.io.st_in(StaCnt + i).bits.uop.pc := RegNext(io.ooo_to_mem.hybridPc(i)) 1069 }) 1070 1071 // load to load fast forward: load(i) prefers data(i) 1072 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 1073 val fastPriority = (LduCnt + i until LduCnt + HyuCnt) ++ (0 until LduCnt + i) 1074 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 1075 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 1076 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 1077 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(LduCnt + i)(j)) 1078 hybridUnits(i).io.ldu_io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 1079 hybridUnits(i).io.ldu_io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 1080 hybridUnits(i).io.ldu_io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 1081 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 1082 hybridUnits(i).io.ldu_io.ld_fast_match := fastMatch 1083 hybridUnits(i).io.ldu_io.ld_fast_imm := io.ooo_to_mem.loadFastImm(LduCnt + i) 1084 hybridUnits(i).io.ldu_io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(LduCnt + i) 1085 hybridUnits(i).io.ldu_io.replay <> lsq.io.replay(LduCnt + i) 1086 hybridUnits(i).io.ldu_io.l2_hint <> io.l2_hint 1087 1088 // uncache 1089 lsq.io.ldout.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.uncache 1090 lsq.io.ld_raw_data.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.ld_raw_data 1091 1092 1093 // passdown to lsq (load s2) 1094 hybridUnits(i).io.ldu_io.lsq.nc_ldin.valid := false.B 1095 hybridUnits(i).io.ldu_io.lsq.nc_ldin.bits := DontCare 1096 lsq.io.ldu.ldin(LduCnt + i) <> hybridUnits(i).io.ldu_io.lsq.ldin 1097 // Lsq to sta unit 1098 lsq.io.sta.storeMaskIn(StaCnt + i) <> hybridUnits(i).io.stu_io.st_mask_out 1099 1100 // Lsq to std unit's rs 1101 lsq.io.std.storeDataIn(StaCnt + i) := stData(StaCnt + i) 1102 lsq.io.std.storeDataIn(StaCnt + i).valid := stData(StaCnt + i).valid && !st_data_atomics(StaCnt + i) 1103 // prefetch 1104 hybridUnits(i).io.stu_io.prefetch_req <> sbuffer.io.store_prefetch(StaCnt + i) 1105 1106 io.mem_to_ooo.s3_delayed_load_error(LduCnt + i) := hybridUnits(i).io.ldu_io.s3_dly_ld_err 1107 1108 // ------------------------------------ 1109 // Store Port 1110 // ------------------------------------ 1111 hybridUnits(i).io.stu_io.lsq <> lsq.io.sta.storeAddrIn.takeRight(HyuCnt)(i) 1112 hybridUnits(i).io.stu_io.lsq_replenish <> lsq.io.sta.storeAddrInRe.takeRight(HyuCnt)(i) 1113 1114 lsq.io.sta.storeMaskIn.takeRight(HyuCnt)(i) <> hybridUnits(i).io.stu_io.st_mask_out 1115 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).valid := hybridUnits(i).io.stu_io.issue.valid 1116 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).bits := hybridUnits(i).io.stu_io.issue.bits 1117 1118 // ------------------------------------ 1119 // Vector Store Port 1120 // ------------------------------------ 1121 hybridUnits(i).io.vec_stu_io.isFirstIssue := true.B 1122 1123 // ------------------------- 1124 // Store Triggers 1125 // ------------------------- 1126 hybridUnits(i).io.fromCsrTrigger.tdataVec := tdata 1127 hybridUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 1128 hybridUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1129 hybridUnits(i).io.fromCsrTrigger.debugMode := debugMode 1130 } 1131 1132 // misalignBuffer 1133 loadMisalignBuffer.io.redirect <> redirect 1134 loadMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1135 loadMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1136 loadMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1137 loadMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1138 loadMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1139 loadMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1140 loadMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1141 loadMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1142 loadMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1143 1144 lsq.io.loadMisalignFull := loadMisalignBuffer.io.loadMisalignFull 1145 1146 storeMisalignBuffer.io.redirect <> redirect 1147 storeMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1148 storeMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1149 storeMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1150 storeMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1151 storeMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1152 storeMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1153 storeMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1154 storeMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1155 storeMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1156 1157 lsq.io.maControl <> storeMisalignBuffer.io.sqControl 1158 1159 lsq.io.cmoOpReq <> dcache.io.cmoOpReq 1160 lsq.io.cmoOpResp <> dcache.io.cmoOpResp 1161 1162 // Prefetcher 1163 val StreamDTLBPortIndex = TlbStartVec(dtlb_ld_idx) + LduCnt + HyuCnt 1164 val PrefetcherDTLBPortIndex = TlbStartVec(dtlb_pf_idx) 1165 val L2toL1DLBPortIndex = TlbStartVec(dtlb_pf_idx) + 1 1166 prefetcherOpt match { 1167 case Some(pf) => 1168 dtlb_reqs(PrefetcherDTLBPortIndex) <> pf.io.tlb_req 1169 pf.io.pmp_resp := pmp_check(PrefetcherDTLBPortIndex).resp 1170 case None => 1171 dtlb_reqs(PrefetcherDTLBPortIndex) := DontCare 1172 dtlb_reqs(PrefetcherDTLBPortIndex).req.valid := false.B 1173 dtlb_reqs(PrefetcherDTLBPortIndex).resp.ready := true.B 1174 } 1175 l1PrefetcherOpt match { 1176 case Some(pf) => 1177 dtlb_reqs(StreamDTLBPortIndex) <> pf.io.tlb_req 1178 pf.io.pmp_resp := pmp_check(StreamDTLBPortIndex).resp 1179 case None => 1180 dtlb_reqs(StreamDTLBPortIndex) := DontCare 1181 dtlb_reqs(StreamDTLBPortIndex).req.valid := false.B 1182 dtlb_reqs(StreamDTLBPortIndex).resp.ready := true.B 1183 } 1184 dtlb_reqs(L2toL1DLBPortIndex) <> io.l2_tlb_req 1185 dtlb_reqs(L2toL1DLBPortIndex).resp.ready := true.B 1186 io.l2_pmp_resp := pmp_check(L2toL1DLBPortIndex).resp 1187 1188 // StoreUnit 1189 for (i <- 0 until StdCnt) { 1190 stdExeUnits(i).io.flush <> redirect 1191 stdExeUnits(i).io.in.valid := io.ooo_to_mem.issueStd(i).valid 1192 io.ooo_to_mem.issueStd(i).ready := stdExeUnits(i).io.in.ready 1193 stdExeUnits(i).io.in.bits := io.ooo_to_mem.issueStd(i).bits 1194 } 1195 1196 for (i <- 0 until StaCnt) { 1197 val stu = storeUnits(i) 1198 1199 stu.io.redirect <> redirect 1200 stu.io.csrCtrl <> csrCtrl 1201 stu.io.dcache <> dcache.io.lsu.sta(i) 1202 stu.io.feedback_slow <> io.mem_to_ooo.staIqFeedback(i).feedbackSlow 1203 stu.io.stin <> io.ooo_to_mem.issueSta(i) 1204 stu.io.lsq <> lsq.io.sta.storeAddrIn(i) 1205 stu.io.lsq_replenish <> lsq.io.sta.storeAddrInRe(i) 1206 // dtlb 1207 stu.io.tlb <> dtlb_st.head.requestor(i) 1208 stu.io.pmp <> pmp_check(LduCnt + HyuCnt + 1 + i).resp 1209 1210 // ------------------------- 1211 // Store Triggers 1212 // ------------------------- 1213 stu.io.fromCsrTrigger.tdataVec := tdata 1214 stu.io.fromCsrTrigger.tEnableVec := tEnable 1215 stu.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1216 stu.io.fromCsrTrigger.debugMode := debugMode 1217 1218 // prefetch 1219 stu.io.prefetch_req <> sbuffer.io.store_prefetch(i) 1220 1221 // store unit does not need fast feedback 1222 io.mem_to_ooo.staIqFeedback(i).feedbackFast := DontCare 1223 1224 // Lsq to sta unit 1225 lsq.io.sta.storeMaskIn(i) <> stu.io.st_mask_out 1226 1227 // connect misalignBuffer 1228 storeMisalignBuffer.io.req(i) <> stu.io.misalign_buf 1229 1230 if (i == 0) { 1231 stu.io.misalign_stin <> storeMisalignBuffer.io.splitStoreReq 1232 stu.io.misalign_stout <> storeMisalignBuffer.io.splitStoreResp 1233 } else { 1234 stu.io.misalign_stin.valid := false.B 1235 stu.io.misalign_stin.bits := DontCare 1236 } 1237 1238 // Lsq to std unit's rs 1239 if (i < VstuCnt){ 1240 when (vsSplit(i).io.vstd.get.valid) { 1241 lsq.io.std.storeDataIn(i).valid := true.B 1242 lsq.io.std.storeDataIn(i).bits := vsSplit(i).io.vstd.get.bits 1243 stData(i).ready := false.B 1244 }.otherwise { 1245 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1246 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1247 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1248 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1249 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1250 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1251 stData(i).ready := true.B 1252 } 1253 } else { 1254 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1255 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1256 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1257 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1258 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1259 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1260 stData(i).ready := true.B 1261 } 1262 lsq.io.std.storeDataIn.map(_.bits.debug := 0.U.asTypeOf(new DebugBundle)) 1263 lsq.io.std.storeDataIn.foreach(_.bits.isFromLoadUnit := DontCare) 1264 1265 1266 // store prefetch train 1267 l1PrefetcherOpt.foreach(pf => { 1268 // stream will train on all load sources 1269 pf.io.st_in(i).valid := false.B 1270 pf.io.st_in(i).bits := DontCare 1271 }) 1272 1273 prefetcherOpt.foreach(pf => { 1274 pf.io.st_in(i).valid := Mux(pf_train_on_hit, 1275 stu.io.prefetch_train.valid, 1276 stu.io.prefetch_train.valid && stu.io.prefetch_train.bits.isFirstIssue && ( 1277 stu.io.prefetch_train.bits.miss 1278 ) 1279 ) 1280 pf.io.st_in(i).bits := stu.io.prefetch_train.bits 1281 pf.io.st_in(i).bits.uop.pc := RegEnable(RegEnable(io.ooo_to_mem.storePc(i), stu.io.s1_prefetch_spec), stu.io.s2_prefetch_spec) 1282 }) 1283 1284 // 1. sync issue info to store set LFST 1285 // 2. when store issue, broadcast issued sqPtr to wake up the following insts 1286 // io.stIn(i).valid := io.issue(exuParameters.LduCnt + i).valid 1287 // io.stIn(i).bits := io.issue(exuParameters.LduCnt + i).bits 1288 io.mem_to_ooo.stIn(i).valid := stu.io.issue.valid 1289 io.mem_to_ooo.stIn(i).bits := stu.io.issue.bits 1290 1291 stu.io.stout.ready := true.B 1292 1293 // vector 1294 if (i < VstuCnt) { 1295 stu.io.vecstin <> vsSplit(i).io.out 1296 // vsFlowQueue.io.pipeFeedback(i) <> stu.io.vec_feedback_slow // need connect 1297 } else { 1298 stu.io.vecstin.valid := false.B 1299 stu.io.vecstin.bits := DontCare 1300 stu.io.vecstout.ready := false.B 1301 } 1302 stu.io.vec_isFirstIssue := true.B // TODO 1303 } 1304 1305 val sqOtherStout = WireInit(0.U.asTypeOf(DecoupledIO(new MemExuOutput))) 1306 sqOtherStout.valid := lsq.io.mmioStout.valid || lsq.io.cboZeroStout.valid 1307 sqOtherStout.bits := Mux(lsq.io.cboZeroStout.valid, lsq.io.cboZeroStout.bits, lsq.io.mmioStout.bits) 1308 assert(!(lsq.io.mmioStout.valid && lsq.io.cboZeroStout.valid), "Cannot writeback to mmio and cboZero at the same time.") 1309 1310 // Store writeback by StoreQueue: 1311 // 1. cbo Zero 1312 // 2. mmio 1313 // Currently, the two should not be present at the same time, so simply make cbo zero a higher priority. 1314 val otherStout = WireInit(0.U.asTypeOf(lsq.io.mmioStout)) 1315 NewPipelineConnect( 1316 sqOtherStout, otherStout, otherStout.fire, 1317 false.B, 1318 Option("otherStoutConnect") 1319 ) 1320 otherStout.ready := false.B 1321 when (otherStout.valid && !storeUnits(0).io.stout.valid) { 1322 stOut(0).valid := true.B 1323 stOut(0).bits := otherStout.bits 1324 otherStout.ready := true.B 1325 } 1326 lsq.io.mmioStout.ready := sqOtherStout.ready 1327 lsq.io.cboZeroStout.ready := sqOtherStout.ready 1328 1329 // vec mmio writeback 1330 lsq.io.vecmmioStout.ready := false.B 1331 1332 // miss align buffer will overwrite stOut(0) 1333 val storeMisalignCanWriteBack = !otherStout.valid && !storeUnits(0).io.stout.valid && !storeUnits(0).io.vecstout.valid 1334 storeMisalignBuffer.io.writeBack.ready := storeMisalignCanWriteBack 1335 storeMisalignBuffer.io.storeOutValid := storeUnits(0).io.stout.valid 1336 storeMisalignBuffer.io.storeVecOutValid := storeUnits(0).io.vecstout.valid 1337 when (storeMisalignBuffer.io.writeBack.valid && storeMisalignCanWriteBack) { 1338 stOut(0).valid := true.B 1339 stOut(0).bits := storeMisalignBuffer.io.writeBack.bits 1340 } 1341 1342 // Uncache 1343 uncache.io.enableOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1344 uncache.io.hartId := io.hartId 1345 lsq.io.uncacheOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1346 1347 // Lsq 1348 io.mem_to_ooo.lsqio.mmio := lsq.io.rob.mmio 1349 io.mem_to_ooo.lsqio.uop := lsq.io.rob.uop 1350 lsq.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1351 lsq.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1352 lsq.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1353 lsq.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1354 lsq.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1355 lsq.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1356 lsq.io.rob.commit := io.ooo_to_mem.lsqio.commit 1357 lsq.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1358 lsq.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1359 1360 // lsq.io.rob <> io.lsqio.rob 1361 lsq.io.enq <> io.ooo_to_mem.enqLsq 1362 lsq.io.brqRedirect <> redirect 1363 1364 // violation rollback 1365 def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 1366 val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 1367 val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 1368 (if (j < i) !xs(j).valid || compareVec(i)(j) 1369 else if (j == i) xs(i).valid 1370 else !xs(j).valid || !compareVec(j)(i)) 1371 )).andR)) 1372 resultOnehot 1373 } 1374 val allRedirect = loadUnits.map(_.io.rollback) ++ hybridUnits.map(_.io.ldu_io.rollback) ++ lsq.io.nack_rollback ++ lsq.io.nuke_rollback 1375 val oldestOneHot = selectOldestRedirect(allRedirect) 1376 val oldestRedirect = WireDefault(Mux1H(oldestOneHot, allRedirect)) 1377 // memory replay would not cause IAF/IPF/IGPF 1378 oldestRedirect.bits.cfiUpdate.backendIAF := false.B 1379 oldestRedirect.bits.cfiUpdate.backendIPF := false.B 1380 oldestRedirect.bits.cfiUpdate.backendIGPF := false.B 1381 io.mem_to_ooo.memoryViolation := oldestRedirect 1382 io.mem_to_ooo.lsqio.lqCanAccept := lsq.io.lqCanAccept 1383 io.mem_to_ooo.lsqio.sqCanAccept := lsq.io.sqCanAccept 1384 1385 // lsq.io.uncache <> uncache.io.lsq 1386 val s_idle :: s_scalar_uncache :: s_vector_uncache :: Nil = Enum(3) 1387 val uncacheState = RegInit(s_idle) 1388 val uncacheReq = Wire(Decoupled(new UncacheWordReq)) 1389 val uncacheIdResp = uncache.io.lsq.idResp 1390 val uncacheResp = Wire(Decoupled(new UncacheWordResp)) 1391 1392 uncacheReq.bits := DontCare 1393 uncacheReq.valid := false.B 1394 uncacheReq.ready := false.B 1395 uncacheResp.bits := DontCare 1396 uncacheResp.valid := false.B 1397 uncacheResp.ready := false.B 1398 lsq.io.uncache.req.ready := false.B 1399 lsq.io.uncache.idResp.valid := false.B 1400 lsq.io.uncache.idResp.bits := DontCare 1401 lsq.io.uncache.resp.valid := false.B 1402 lsq.io.uncache.resp.bits := DontCare 1403 1404 switch (uncacheState) { 1405 is (s_idle) { 1406 when (uncacheReq.fire) { 1407 when (lsq.io.uncache.req.valid) { 1408 when (!lsq.io.uncache.req.bits.nc || !io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1409 uncacheState := s_scalar_uncache 1410 } 1411 }.otherwise { 1412 // val isStore = vsFlowQueue.io.uncache.req.bits.cmd === MemoryOpConstants.M_XWR 1413 when (!io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1414 uncacheState := s_vector_uncache 1415 } 1416 } 1417 } 1418 } 1419 1420 is (s_scalar_uncache) { 1421 when (uncacheResp.fire) { 1422 uncacheState := s_idle 1423 } 1424 } 1425 1426 is (s_vector_uncache) { 1427 when (uncacheResp.fire) { 1428 uncacheState := s_idle 1429 } 1430 } 1431 } 1432 1433 when (lsq.io.uncache.req.valid) { 1434 uncacheReq <> lsq.io.uncache.req 1435 } 1436 when (io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1437 lsq.io.uncache.resp <> uncacheResp 1438 lsq.io.uncache.idResp <> uncacheIdResp 1439 }.otherwise { 1440 when (uncacheState === s_scalar_uncache) { 1441 lsq.io.uncache.resp <> uncacheResp 1442 lsq.io.uncache.idResp <> uncacheIdResp 1443 } 1444 } 1445 // delay dcache refill for 1 cycle for better timing 1446 AddPipelineReg(uncacheReq, uncache.io.lsq.req, false.B) 1447 AddPipelineReg(uncache.io.lsq.resp, uncacheResp, false.B) 1448 1449 //lsq.io.refill := delayedDcacheRefill 1450 lsq.io.release := dcache.io.lsu.release 1451 lsq.io.lqCancelCnt <> io.mem_to_ooo.lqCancelCnt 1452 lsq.io.sqCancelCnt <> io.mem_to_ooo.sqCancelCnt 1453 lsq.io.lqDeq <> io.mem_to_ooo.lqDeq 1454 lsq.io.sqDeq <> io.mem_to_ooo.sqDeq 1455 // Todo: assign these 1456 io.mem_to_ooo.sqDeqPtr := lsq.io.sqDeqPtr 1457 io.mem_to_ooo.lqDeqPtr := lsq.io.lqDeqPtr 1458 lsq.io.tl_d_channel <> dcache.io.lsu.tl_d_channel 1459 1460 // LSQ to store buffer 1461 lsq.io.sbuffer <> sbuffer.io.in 1462 sbuffer.io.in(0).valid := lsq.io.sbuffer(0).valid || vSegmentUnit.io.sbuffer.valid 1463 sbuffer.io.in(0).bits := Mux1H(Seq( 1464 vSegmentUnit.io.sbuffer.valid -> vSegmentUnit.io.sbuffer.bits, 1465 lsq.io.sbuffer(0).valid -> lsq.io.sbuffer(0).bits 1466 )) 1467 vSegmentUnit.io.sbuffer.ready := sbuffer.io.in(0).ready 1468 lsq.io.sqEmpty <> sbuffer.io.sqempty 1469 dcache.io.force_write := lsq.io.force_write 1470 1471 // Initialize when unenabled difftest. 1472 sbuffer.io.vecDifftestInfo := DontCare 1473 lsq.io.sbufferVecDifftestInfo := DontCare 1474 vSegmentUnit.io.vecDifftestInfo := DontCare 1475 if (env.EnableDifftest) { 1476 sbuffer.io.vecDifftestInfo .zipWithIndex.map{ case (sbufferPort, index) => 1477 if (index == 0) { 1478 val vSegmentDifftestValid = vSegmentUnit.io.vecDifftestInfo.valid 1479 sbufferPort.valid := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.valid, lsq.io.sbufferVecDifftestInfo(0).valid) 1480 sbufferPort.bits := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.bits, lsq.io.sbufferVecDifftestInfo(0).bits) 1481 1482 vSegmentUnit.io.vecDifftestInfo.ready := sbufferPort.ready 1483 lsq.io.sbufferVecDifftestInfo(0).ready := sbufferPort.ready 1484 } else { 1485 sbufferPort <> lsq.io.sbufferVecDifftestInfo(index) 1486 } 1487 } 1488 } 1489 1490 // lsq.io.vecStoreRetire <> vsFlowQueue.io.sqRelease 1491 // lsq.io.vecWriteback.valid := vlWrapper.io.uopWriteback.fire && 1492 // vlWrapper.io.uopWriteback.bits.uop.vpu.lastUop 1493 // lsq.io.vecWriteback.bits := vlWrapper.io.uopWriteback.bits 1494 1495 // vector 1496 val vLoadCanAccept = (0 until VlduCnt).map(i => 1497 vlSplit(i).io.in.ready && VlduType.isVecLd(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1498 ) 1499 val vStoreCanAccept = (0 until VstuCnt).map(i => 1500 vsSplit(i).io.in.ready && VstuType.isVecSt(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1501 ) 1502 val isSegment = io.ooo_to_mem.issueVldu.head.valid && isVsegls(io.ooo_to_mem.issueVldu.head.bits.uop.fuType) 1503 val isFixVlUop = io.ooo_to_mem.issueVldu.map{x => 1504 x.bits.uop.vpu.isVleff && x.bits.uop.vpu.lastUop && x.valid 1505 } 1506 1507 // init port 1508 /** 1509 * TODO: splited vsMergebuffer maybe remove, if one RS can accept two feedback, or don't need RS replay uop 1510 * for now: 1511 * RS0 -> VsSplit0 -> stu0 -> vsMergebuffer0 -> feedback -> RS0 1512 * RS1 -> VsSplit1 -> stu1 -> vsMergebuffer1 -> feedback -> RS1 1513 * 1514 * vector load don't need feedback 1515 * 1516 * RS0 -> VlSplit0 -> ldu0 -> | 1517 * RS1 -> VlSplit1 -> ldu1 -> | -> vlMergebuffer 1518 * replayIO -> ldu3 -> | 1519 * */ 1520 (0 until VstuCnt).foreach{i => 1521 vsMergeBuffer(i).io.fromPipeline := DontCare 1522 vsMergeBuffer(i).io.fromSplit := DontCare 1523 1524 vsMergeBuffer(i).io.fromMisalignBuffer.get.flush := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).flush 1525 vsMergeBuffer(i).io.fromMisalignBuffer.get.mbIndex := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).mbIndex 1526 } 1527 1528 (0 until VstuCnt).foreach{i => 1529 vsSplit(i).io.redirect <> redirect 1530 vsSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1531 vsSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1532 vStoreCanAccept(i) && !isSegment 1533 vsSplit(i).io.toMergeBuffer <> vsMergeBuffer(i).io.fromSplit.head 1534 NewPipelineConnect( 1535 vsSplit(i).io.out, storeUnits(i).io.vecstin, storeUnits(i).io.vecstin.fire, 1536 Mux(vsSplit(i).io.out.fire, vsSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), storeUnits(i).io.vecstin.bits.uop.robIdx.needFlush(io.redirect)), 1537 Option("VsSplitConnectStu") 1538 ) 1539 vsSplit(i).io.vstd.get := DontCare // Todo: Discuss how to pass vector store data 1540 1541 vsSplit(i).io.vstdMisalign.get.storeMisalignBufferEmpty := !storeMisalignBuffer.io.full 1542 vsSplit(i).io.vstdMisalign.get.storePipeEmpty := !storeUnits(i).io.s0_s1_valid 1543 1544 } 1545 (0 until VlduCnt).foreach{i => 1546 vlSplit(i).io.redirect <> redirect 1547 vlSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1548 vlSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1549 vLoadCanAccept(i) && !isSegment && !isFixVlUop(i) 1550 vlSplit(i).io.toMergeBuffer <> vlMergeBuffer.io.fromSplit(i) 1551 vlSplit(i).io.threshold.get.valid := vlMergeBuffer.io.toSplit.get.threshold 1552 vlSplit(i).io.threshold.get.bits := lsq.io.lqDeqPtr 1553 NewPipelineConnect( 1554 vlSplit(i).io.out, loadUnits(i).io.vecldin, loadUnits(i).io.vecldin.fire, 1555 Mux(vlSplit(i).io.out.fire, vlSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), loadUnits(i).io.vecldin.bits.uop.robIdx.needFlush(io.redirect)), 1556 Option("VlSplitConnectLdu") 1557 ) 1558 1559 //Subsequent instrction will be blocked 1560 vfofBuffer.io.in(i).valid := io.ooo_to_mem.issueVldu(i).valid 1561 vfofBuffer.io.in(i).bits := io.ooo_to_mem.issueVldu(i).bits 1562 } 1563 (0 until LduCnt).foreach{i=> 1564 loadUnits(i).io.vecldout.ready := vlMergeBuffer.io.fromPipeline(i).ready 1565 loadMisalignBuffer.io.vecWriteBack.ready := true.B 1566 1567 if (i == MisalignWBPort) { 1568 when(loadUnits(i).io.vecldout.valid) { 1569 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1570 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1571 } .otherwise { 1572 vlMergeBuffer.io.fromPipeline(i).valid := loadMisalignBuffer.io.vecWriteBack.valid 1573 vlMergeBuffer.io.fromPipeline(i).bits := loadMisalignBuffer.io.vecWriteBack.bits 1574 } 1575 } else { 1576 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1577 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1578 } 1579 } 1580 1581 (0 until StaCnt).foreach{i=> 1582 if(i < VstuCnt){ 1583 storeUnits(i).io.vecstout.ready := true.B 1584 storeMisalignBuffer.io.vecWriteBack(i).ready := vsMergeBuffer(i).io.fromPipeline.head.ready 1585 1586 when(storeUnits(i).io.vecstout.valid) { 1587 vsMergeBuffer(i).io.fromPipeline.head.valid := storeUnits(i).io.vecstout.valid 1588 vsMergeBuffer(i).io.fromPipeline.head.bits := storeUnits(i).io.vecstout.bits 1589 } .otherwise { 1590 vsMergeBuffer(i).io.fromPipeline.head.valid := storeMisalignBuffer.io.vecWriteBack(i).valid 1591 vsMergeBuffer(i).io.fromPipeline.head.bits := storeMisalignBuffer.io.vecWriteBack(i).bits 1592 } 1593 } 1594 } 1595 1596 (0 until VlduCnt).foreach{i=> 1597 io.ooo_to_mem.issueVldu(i).ready := vLoadCanAccept(i) || vStoreCanAccept(i) 1598 } 1599 1600 vlMergeBuffer.io.redirect <> redirect 1601 vsMergeBuffer.map(_.io.redirect <> redirect) 1602 (0 until VlduCnt).foreach{i=> 1603 vlMergeBuffer.io.toLsq(i) <> lsq.io.ldvecFeedback(i) 1604 } 1605 (0 until VstuCnt).foreach{i=> 1606 vsMergeBuffer(i).io.toLsq.head <> lsq.io.stvecFeedback(i) 1607 } 1608 1609 (0 until VlduCnt).foreach{i=> 1610 // send to RS 1611 vlMergeBuffer.io.feedback(i) <> io.mem_to_ooo.vlduIqFeedback(i).feedbackSlow 1612 io.mem_to_ooo.vlduIqFeedback(i).feedbackFast := DontCare 1613 } 1614 (0 until VstuCnt).foreach{i => 1615 // send to RS 1616 if (i == 0){ 1617 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.valid := vsMergeBuffer(i).io.feedback.head.valid || vSegmentUnit.io.feedback.valid 1618 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.bits := Mux1H(Seq( 1619 vSegmentUnit.io.feedback.valid -> vSegmentUnit.io.feedback.bits, 1620 vsMergeBuffer(i).io.feedback.head.valid -> vsMergeBuffer(i).io.feedback.head.bits 1621 )) 1622 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1623 } else { 1624 vsMergeBuffer(i).io.feedback.head <> io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow 1625 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1626 } 1627 } 1628 1629 (0 until VlduCnt).foreach{i=> 1630 if (i == 0){ // for segmentUnit, segmentUnit use port0 writeback 1631 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vSegmentUnit.io.uopwriteback.valid 1632 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1633 vSegmentUnit.io.uopwriteback.valid -> vSegmentUnit.io.uopwriteback.bits, 1634 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1635 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1636 )) 1637 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vSegmentUnit.io.uopwriteback.valid 1638 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vSegmentUnit.io.uopwriteback.valid 1639 vSegmentUnit.io.uopwriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1640 } else if (i == 1) { 1641 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vfofBuffer.io.uopWriteback.valid 1642 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1643 vfofBuffer.io.uopWriteback.valid -> vfofBuffer.io.uopWriteback.bits, 1644 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1645 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1646 )) 1647 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vfofBuffer.io.uopWriteback.valid 1648 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vfofBuffer.io.uopWriteback.valid 1649 vfofBuffer.io.uopWriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1650 } else { 1651 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid 1652 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1653 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1654 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1655 )) 1656 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready 1657 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid 1658 } 1659 1660 vfofBuffer.io.mergeUopWriteback(i).valid := vlMergeBuffer.io.uopWriteback(i).valid 1661 vfofBuffer.io.mergeUopWriteback(i).bits := vlMergeBuffer.io.uopWriteback(i).bits 1662 } 1663 1664 1665 vfofBuffer.io.redirect <> redirect 1666 1667 // Sbuffer 1668 sbuffer.io.csrCtrl <> csrCtrl 1669 sbuffer.io.dcache <> dcache.io.lsu.store 1670 sbuffer.io.memSetPattenDetected := dcache.io.memSetPattenDetected 1671 sbuffer.io.force_write <> lsq.io.force_write 1672 // flush sbuffer 1673 val cmoFlush = lsq.io.flushSbuffer.valid 1674 val fenceFlush = io.ooo_to_mem.flushSb 1675 val atomicsFlush = atomicsUnit.io.flush_sbuffer.valid || vSegmentUnit.io.flush_sbuffer.valid 1676 val stIsEmpty = sbuffer.io.flush.empty && uncache.io.flush.empty 1677 io.mem_to_ooo.sbIsEmpty := RegNext(stIsEmpty) 1678 1679 // if both of them tries to flush sbuffer at the same time 1680 // something must have gone wrong 1681 assert(!(fenceFlush && atomicsFlush && cmoFlush)) 1682 sbuffer.io.flush.valid := RegNext(fenceFlush || atomicsFlush || cmoFlush) 1683 uncache.io.flush.valid := sbuffer.io.flush.valid 1684 1685 // AtomicsUnit: AtomicsUnit will override other control signials, 1686 // as atomics insts (LR/SC/AMO) will block the pipeline 1687 val s_normal +: s_atomics = Enum(StaCnt + HyuCnt + 1) 1688 val state = RegInit(s_normal) 1689 1690 val st_atomics = Seq.tabulate(StaCnt)(i => 1691 io.ooo_to_mem.issueSta(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueSta(i).bits.uop.fuType)) 1692 ) ++ Seq.tabulate(HyuCnt)(i => 1693 io.ooo_to_mem.issueHya(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueHya(i).bits.uop.fuType)) 1694 ) 1695 1696 for (i <- 0 until StaCnt) when(st_atomics(i)) { 1697 io.ooo_to_mem.issueSta(i).ready := atomicsUnit.io.in.ready 1698 storeUnits(i).io.stin.valid := false.B 1699 1700 state := s_atomics(i) 1701 } 1702 for (i <- 0 until HyuCnt) when(st_atomics(StaCnt + i)) { 1703 io.ooo_to_mem.issueHya(i).ready := atomicsUnit.io.in.ready 1704 hybridUnits(i).io.lsin.valid := false.B 1705 1706 state := s_atomics(StaCnt + i) 1707 assert(!st_atomics.zipWithIndex.filterNot(_._2 == StaCnt + i).unzip._1.reduce(_ || _)) 1708 } 1709 when (atomicsUnit.io.out.valid) { 1710 state := s_normal 1711 } 1712 1713 atomicsUnit.io.in.valid := st_atomics.reduce(_ || _) 1714 atomicsUnit.io.in.bits := Mux1H(Seq.tabulate(StaCnt)(i => 1715 st_atomics(i) -> io.ooo_to_mem.issueSta(i).bits) ++ 1716 Seq.tabulate(HyuCnt)(i => st_atomics(StaCnt+i) -> io.ooo_to_mem.issueHya(i).bits)) 1717 atomicsUnit.io.storeDataIn.zipWithIndex.foreach { case (stdin, i) => 1718 stdin.valid := st_data_atomics(i) 1719 stdin.bits := stData(i).bits 1720 } 1721 atomicsUnit.io.redirect <> redirect 1722 1723 // TODO: complete amo's pmp support 1724 val amoTlb = dtlb_ld(0).requestor(0) 1725 atomicsUnit.io.dtlb.resp.valid := false.B 1726 atomicsUnit.io.dtlb.resp.bits := DontCare 1727 atomicsUnit.io.dtlb.req.ready := amoTlb.req.ready 1728 atomicsUnit.io.pmpResp := pmp_check(0).resp 1729 1730 atomicsUnit.io.dcache <> dcache.io.lsu.atomics 1731 atomicsUnit.io.flush_sbuffer.empty := stIsEmpty 1732 1733 atomicsUnit.io.csrCtrl := csrCtrl 1734 1735 // for atomicsUnit, it uses loadUnit(0)'s TLB port 1736 1737 when (state =/= s_normal) { 1738 // use store wb port instead of load 1739 loadUnits(0).io.ldout.ready := false.B 1740 // use load_0's TLB 1741 atomicsUnit.io.dtlb <> amoTlb 1742 1743 // hw prefetch should be disabled while executing atomic insts 1744 loadUnits.map(i => i.io.prefetch_req.valid := false.B) 1745 1746 // make sure there's no in-flight uops in load unit 1747 assert(!loadUnits(0).io.ldout.valid) 1748 } 1749 1750 lsq.io.flushSbuffer.empty := sbuffer.io.sbempty 1751 1752 for (i <- 0 until StaCnt) { 1753 when (state === s_atomics(i)) { 1754 io.mem_to_ooo.staIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1755 assert(!storeUnits(i).io.feedback_slow.valid) 1756 } 1757 } 1758 for (i <- 0 until HyuCnt) { 1759 when (state === s_atomics(StaCnt + i)) { 1760 io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1761 assert(!hybridUnits(i).io.feedback_slow.valid) 1762 } 1763 } 1764 1765 lsq.io.exceptionAddr.isStore := io.ooo_to_mem.isStoreException 1766 // Exception address is used several cycles after flush. 1767 // We delay it by 10 cycles to ensure its flush safety. 1768 val atomicsException = RegInit(false.B) 1769 when (DelayN(redirect.valid, 10) && atomicsException) { 1770 atomicsException := false.B 1771 }.elsewhen (atomicsUnit.io.exceptionInfo.valid) { 1772 atomicsException := true.B 1773 } 1774 1775 val misalignBufExceptionOverwrite = loadMisalignBuffer.io.overwriteExpBuf.valid || storeMisalignBuffer.io.overwriteExpBuf.valid 1776 val misalignBufExceptionVaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1777 loadMisalignBuffer.io.overwriteExpBuf.vaddr, 1778 storeMisalignBuffer.io.overwriteExpBuf.vaddr 1779 ) 1780 val misalignBufExceptionIsHyper = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1781 loadMisalignBuffer.io.overwriteExpBuf.isHyper, 1782 storeMisalignBuffer.io.overwriteExpBuf.isHyper 1783 ) 1784 val misalignBufExceptionGpaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1785 loadMisalignBuffer.io.overwriteExpBuf.gpaddr, 1786 storeMisalignBuffer.io.overwriteExpBuf.gpaddr 1787 ) 1788 val misalignBufExceptionIsForVSnonLeafPTE = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1789 loadMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE, 1790 storeMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE 1791 ) 1792 1793 val vSegmentException = RegInit(false.B) 1794 when (DelayN(redirect.valid, 10) && vSegmentException) { 1795 vSegmentException := false.B 1796 }.elsewhen (vSegmentUnit.io.exceptionInfo.valid) { 1797 vSegmentException := true.B 1798 } 1799 val atomicsExceptionAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.vaddr, atomicsUnit.io.exceptionInfo.valid) 1800 val vSegmentExceptionVstart = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vstart, vSegmentUnit.io.exceptionInfo.valid) 1801 val vSegmentExceptionVl = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vl, vSegmentUnit.io.exceptionInfo.valid) 1802 val vSegmentExceptionAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vaddr, vSegmentUnit.io.exceptionInfo.valid) 1803 val atomicsExceptionGPAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.gpaddr, atomicsUnit.io.exceptionInfo.valid) 1804 val vSegmentExceptionGPAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.gpaddr, vSegmentUnit.io.exceptionInfo.valid) 1805 val atomicsExceptionIsForVSnonLeafPTE = RegEnable(atomicsUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, atomicsUnit.io.exceptionInfo.valid) 1806 val vSegmentExceptionIsForVSnonLeafPTE = RegEnable(vSegmentUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, vSegmentUnit.io.exceptionInfo.valid) 1807 1808 val exceptionVaddr = Mux( 1809 atomicsException, 1810 atomicsExceptionAddress, 1811 Mux(misalignBufExceptionOverwrite, 1812 misalignBufExceptionVaddr, 1813 Mux(vSegmentException, 1814 vSegmentExceptionAddress, 1815 lsq.io.exceptionAddr.vaddr 1816 ) 1817 ) 1818 ) 1819 // whether vaddr need ext or is hyper inst: 1820 // VaNeedExt: atomicsException -> false; misalignBufExceptionOverwrite -> true; vSegmentException -> false 1821 // IsHyper: atomicsException -> false; vSegmentException -> false 1822 val exceptionVaNeedExt = !atomicsException && 1823 (misalignBufExceptionOverwrite || 1824 (!vSegmentException && lsq.io.exceptionAddr.vaNeedExt)) 1825 val exceptionIsHyper = !atomicsException && 1826 (misalignBufExceptionOverwrite && misalignBufExceptionIsHyper || 1827 (!vSegmentException && lsq.io.exceptionAddr.isHyper && !misalignBufExceptionOverwrite)) 1828 1829 def GenExceptionVa(mode: UInt, isVirt: Bool, vaNeedExt: Bool, 1830 satp: TlbSatpBundle, vsatp: TlbSatpBundle, hgatp: TlbHgatpBundle, 1831 vaddr: UInt) = { 1832 require(VAddrBits >= 50) 1833 1834 val Sv39 = satp.mode === 8.U 1835 val Sv48 = satp.mode === 9.U 1836 val Sv39x4 = vsatp.mode === 8.U || hgatp.mode === 8.U 1837 val Sv48x4 = vsatp.mode === 9.U || hgatp.mode === 9.U 1838 val vmEnable = !isVirt && (Sv39 || Sv48) && (mode < CSRConst.ModeM) 1839 val s2xlateEnable = isVirt && (Sv39x4 || Sv48x4) && (mode < CSRConst.ModeM) 1840 1841 val s2xlate = MuxCase(noS2xlate, Seq( 1842 !isVirt -> noS2xlate, 1843 (vsatp.mode =/= 0.U && hgatp.mode =/= 0.U) -> allStage, 1844 (vsatp.mode === 0.U) -> onlyStage2, 1845 (hgatp.mode === 0.U) -> onlyStage1 1846 )) 1847 val onlyS2 = s2xlate === onlyStage2 1848 1849 val bareAddr = ZeroExt(vaddr(PAddrBits - 1, 0), XLEN) 1850 val sv39Addr = SignExt(vaddr.take(39), XLEN) 1851 val sv39x4Addr = ZeroExt(vaddr.take(39 + 2), XLEN) 1852 val sv48Addr = SignExt(vaddr.take(48), XLEN) 1853 val sv48x4Addr = ZeroExt(vaddr.take(48 + 2), XLEN) 1854 1855 val ExceptionVa = Wire(UInt(XLEN.W)) 1856 when (vaNeedExt) { 1857 ExceptionVa := Mux1H(Seq( 1858 (!(vmEnable || s2xlateEnable)) -> bareAddr, 1859 (!onlyS2 && (Sv39 || Sv39x4)) -> sv39Addr, 1860 (!onlyS2 && (Sv48 || Sv48x4)) -> sv48Addr, 1861 ( onlyS2 && (Sv39 || Sv39x4)) -> sv39x4Addr, 1862 ( onlyS2 && (Sv48 || Sv48x4)) -> sv48x4Addr, 1863 )) 1864 } .otherwise { 1865 ExceptionVa := vaddr 1866 } 1867 1868 ExceptionVa 1869 } 1870 1871 io.mem_to_ooo.lsqio.vaddr := RegNext( 1872 GenExceptionVa(tlbcsr.priv.dmode, tlbcsr.priv.virt || exceptionIsHyper, exceptionVaNeedExt, 1873 tlbcsr.satp, tlbcsr.vsatp, tlbcsr.hgatp, exceptionVaddr) 1874 ) 1875 1876 // vsegment instruction is executed atomic, which mean atomicsException and vSegmentException should not raise at the same time. 1877 XSError(atomicsException && vSegmentException, "atomicsException and vSegmentException raise at the same time!") 1878 io.mem_to_ooo.lsqio.vstart := RegNext(Mux(vSegmentException, 1879 vSegmentExceptionVstart, 1880 lsq.io.exceptionAddr.vstart) 1881 ) 1882 io.mem_to_ooo.lsqio.vl := RegNext(Mux(vSegmentException, 1883 vSegmentExceptionVl, 1884 lsq.io.exceptionAddr.vl) 1885 ) 1886 1887 XSError(atomicsException && atomicsUnit.io.in.valid, "new instruction before exception triggers\n") 1888 io.mem_to_ooo.lsqio.gpaddr := RegNext(Mux( 1889 atomicsException, 1890 atomicsExceptionGPAddress, 1891 Mux(misalignBufExceptionOverwrite, 1892 misalignBufExceptionGpaddr, 1893 Mux(vSegmentException, 1894 vSegmentExceptionGPAddress, 1895 lsq.io.exceptionAddr.gpaddr 1896 ) 1897 ) 1898 )) 1899 io.mem_to_ooo.lsqio.isForVSnonLeafPTE := RegNext(Mux( 1900 atomicsException, 1901 atomicsExceptionIsForVSnonLeafPTE, 1902 Mux(misalignBufExceptionOverwrite, 1903 misalignBufExceptionIsForVSnonLeafPTE, 1904 Mux(vSegmentException, 1905 vSegmentExceptionIsForVSnonLeafPTE, 1906 lsq.io.exceptionAddr.isForVSnonLeafPTE 1907 ) 1908 ) 1909 )) 1910 io.mem_to_ooo.topToBackendBypass match { case x => 1911 x.hartId := io.hartId 1912 x.l2FlushDone := RegNext(io.l2_flush_done) 1913 x.externalInterrupt.msip := outer.clint_int_sink.in.head._1(0) 1914 x.externalInterrupt.mtip := outer.clint_int_sink.in.head._1(1) 1915 x.externalInterrupt.meip := outer.plic_int_sink.in.head._1(0) 1916 x.externalInterrupt.seip := outer.plic_int_sink.in.last._1(0) 1917 x.externalInterrupt.debug := outer.debug_int_sink.in.head._1(0) 1918 x.externalInterrupt.nmi.nmi_31 := outer.nmi_int_sink.in.head._1(0) 1919 x.externalInterrupt.nmi.nmi_43 := outer.nmi_int_sink.in.head._1(1) 1920 x.msiInfo := DelayNWithValid(io.fromTopToBackend.msiInfo, 1) 1921 x.clintTime := DelayNWithValid(io.fromTopToBackend.clintTime, 1) 1922 } 1923 1924 io.memInfo.sqFull := RegNext(lsq.io.sqFull) 1925 io.memInfo.lqFull := RegNext(lsq.io.lqFull) 1926 io.memInfo.dcacheMSHRFull := RegNext(dcache.io.mshrFull) 1927 1928 io.inner_hartId := io.hartId 1929 io.inner_reset_vector := RegNext(io.outer_reset_vector) 1930 io.outer_cpu_halt := io.ooo_to_mem.backendToTopBypass.cpuHalted 1931 io.outer_l2_flush_en := io.ooo_to_mem.csrCtrl.flush_l2_enable 1932 io.outer_power_down_en := io.ooo_to_mem.csrCtrl.power_down_enable 1933 io.outer_cpu_critical_error := io.ooo_to_mem.backendToTopBypass.cpuCriticalError 1934 io.outer_beu_errors_icache := RegNext(io.inner_beu_errors_icache) 1935 io.inner_hc_perfEvents <> RegNext(io.outer_hc_perfEvents) 1936 1937 // vector segmentUnit 1938 vSegmentUnit.io.in.bits <> io.ooo_to_mem.issueVldu.head.bits 1939 vSegmentUnit.io.in.valid := isSegment && io.ooo_to_mem.issueVldu.head.valid// is segment instruction 1940 vSegmentUnit.io.dtlb.resp.bits <> dtlb_reqs.take(LduCnt).head.resp.bits 1941 vSegmentUnit.io.dtlb.resp.valid <> dtlb_reqs.take(LduCnt).head.resp.valid 1942 vSegmentUnit.io.pmpResp <> pmp_check.head.resp 1943 vSegmentUnit.io.flush_sbuffer.empty := stIsEmpty 1944 vSegmentUnit.io.redirect <> redirect 1945 vSegmentUnit.io.rdcache.resp.bits := dcache.io.lsu.load(0).resp.bits 1946 vSegmentUnit.io.rdcache.resp.valid := dcache.io.lsu.load(0).resp.valid 1947 vSegmentUnit.io.rdcache.s2_bank_conflict := dcache.io.lsu.load(0).s2_bank_conflict 1948 // ------------------------- 1949 // Vector Segment Triggers 1950 // ------------------------- 1951 vSegmentUnit.io.fromCsrTrigger.tdataVec := tdata 1952 vSegmentUnit.io.fromCsrTrigger.tEnableVec := tEnable 1953 vSegmentUnit.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1954 vSegmentUnit.io.fromCsrTrigger.debugMode := debugMode 1955 1956 // reset tree of MemBlock 1957 if (p(DebugOptionsKey).ResetGen) { 1958 val leftResetTree = ResetGenNode( 1959 Seq( 1960 ModuleNode(ptw), 1961 ModuleNode(ptw_to_l2_buffer), 1962 ModuleNode(lsq), 1963 ModuleNode(dtlb_st_tlb_st), 1964 ModuleNode(dtlb_prefetch_tlb_prefetch), 1965 ModuleNode(pmp) 1966 ) 1967 ++ pmp_checkers.map(ModuleNode(_)) 1968 ++ (if (prefetcherOpt.isDefined) Seq(ModuleNode(prefetcherOpt.get)) else Nil) 1969 ++ (if (l1PrefetcherOpt.isDefined) Seq(ModuleNode(l1PrefetcherOpt.get)) else Nil) 1970 ) 1971 val rightResetTree = ResetGenNode( 1972 Seq( 1973 ModuleNode(sbuffer), 1974 ModuleNode(dtlb_ld_tlb_ld), 1975 ModuleNode(dcache), 1976 ModuleNode(l1d_to_l2_buffer), 1977 CellNode(io.reset_backend) 1978 ) 1979 ) 1980 ResetGen(leftResetTree, reset, sim = false, io.dft_reset) 1981 ResetGen(rightResetTree, reset, sim = false, io.dft_reset) 1982 } else { 1983 io.reset_backend := DontCare 1984 } 1985 io.resetInFrontendBypass.toL2Top := io.resetInFrontendBypass.fromFrontend 1986 // trace interface 1987 val traceToL2Top = io.traceCoreInterfaceBypass.toL2Top 1988 val traceFromBackend = io.traceCoreInterfaceBypass.fromBackend 1989 traceFromBackend.fromEncoder := RegNext(traceToL2Top.fromEncoder) 1990 traceToL2Top.toEncoder.trap := RegEnable( 1991 traceFromBackend.toEncoder.trap, 1992 traceFromBackend.toEncoder.groups(0).valid && Itype.isTrap(traceFromBackend.toEncoder.groups(0).bits.itype) 1993 ) 1994 traceToL2Top.toEncoder.priv := RegEnable( 1995 traceFromBackend.toEncoder.priv, 1996 traceFromBackend.toEncoder.groups(0).valid 1997 ) 1998 (0 until TraceGroupNum).foreach { i => 1999 traceToL2Top.toEncoder.groups(i).valid := RegNext(traceFromBackend.toEncoder.groups(i).valid) 2000 traceToL2Top.toEncoder.groups(i).bits.iretire := RegNext(traceFromBackend.toEncoder.groups(i).bits.iretire) 2001 traceToL2Top.toEncoder.groups(i).bits.itype := RegNext(traceFromBackend.toEncoder.groups(i).bits.itype) 2002 traceToL2Top.toEncoder.groups(i).bits.ilastsize := RegEnable( 2003 traceFromBackend.toEncoder.groups(i).bits.ilastsize, 2004 traceFromBackend.toEncoder.groups(i).valid 2005 ) 2006 traceToL2Top.toEncoder.groups(i).bits.iaddr := RegEnable( 2007 traceFromBackend.toEncoder.groups(i).bits.iaddr, 2008 traceFromBackend.toEncoder.groups(i).valid 2009 ) + (RegEnable( 2010 traceFromBackend.toEncoder.groups(i).bits.ftqOffset.getOrElse(0.U), 2011 traceFromBackend.toEncoder.groups(i).valid 2012 ) << instOffsetBits) 2013 } 2014 2015 2016 io.mem_to_ooo.storeDebugInfo := DontCare 2017 // store event difftest information 2018 if (env.EnableDifftest) { 2019 (0 until EnsbufferWidth).foreach{i => 2020 io.mem_to_ooo.storeDebugInfo(i).robidx := sbuffer.io.vecDifftestInfo(i).bits.robIdx 2021 sbuffer.io.vecDifftestInfo(i).bits.pc := io.mem_to_ooo.storeDebugInfo(i).pc 2022 } 2023 } 2024 2025 // top-down info 2026 dcache.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2027 dtlbRepeater.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2028 lsq.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2029 io.debugTopDown.toCore.robHeadMissInDCache := dcache.io.debugTopDown.robHeadMissInDCache 2030 io.debugTopDown.toCore.robHeadTlbReplay := lsq.io.debugTopDown.robHeadTlbReplay 2031 io.debugTopDown.toCore.robHeadTlbMiss := lsq.io.debugTopDown.robHeadTlbMiss 2032 io.debugTopDown.toCore.robHeadLoadVio := lsq.io.debugTopDown.robHeadLoadVio 2033 io.debugTopDown.toCore.robHeadLoadMSHR := lsq.io.debugTopDown.robHeadLoadMSHR 2034 dcache.io.debugTopDown.robHeadOtherReplay := lsq.io.debugTopDown.robHeadOtherReplay 2035 dcache.io.debugRolling := io.debugRolling 2036 2037 lsq.io.noUopsIssued := io.topDownInfo.toBackend.noUopsIssued 2038 io.topDownInfo.toBackend.lqEmpty := lsq.io.lqEmpty 2039 io.topDownInfo.toBackend.sqEmpty := lsq.io.sqEmpty 2040 io.topDownInfo.toBackend.l1Miss := dcache.io.l1Miss 2041 io.topDownInfo.toBackend.l2TopMiss.l2Miss := RegNext(io.topDownInfo.fromL2Top.l2Miss) 2042 io.topDownInfo.toBackend.l2TopMiss.l3Miss := RegNext(io.topDownInfo.fromL2Top.l3Miss) 2043 2044 val hyLdDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isLoad(x.bits.uop.fuType))) 2045 val hyStDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isStore(x.bits.uop.fuType))) 2046 val ldDeqCount = PopCount(io.ooo_to_mem.issueLda.map(_.valid)) +& hyLdDeqCount 2047 val stDeqCount = PopCount(io.ooo_to_mem.issueSta.take(StaCnt).map(_.valid)) +& hyStDeqCount 2048 val iqDeqCount = ldDeqCount +& stDeqCount 2049 XSPerfAccumulate("load_iq_deq_count", ldDeqCount) 2050 XSPerfHistogram("load_iq_deq_count", ldDeqCount, true.B, 0, LdExuCnt + 1) 2051 XSPerfAccumulate("store_iq_deq_count", stDeqCount) 2052 XSPerfHistogram("store_iq_deq_count", stDeqCount, true.B, 0, StAddrCnt + 1) 2053 XSPerfAccumulate("ls_iq_deq_count", iqDeqCount) 2054 2055 val pfevent = Module(new PFEvent) 2056 pfevent.io.distribute_csr := csrCtrl.distribute_csr 2057 val csrevents = pfevent.io.hpmevent.slice(16,24) 2058 2059 val perfFromUnits = (loadUnits ++ Seq(sbuffer, lsq, dcache)).flatMap(_.getPerfEvents) 2060 val perfFromPTW = perfEventsPTW.map(x => ("PTW_" + x._1, x._2)) 2061 val perfBlock = Seq(("ldDeqCount", ldDeqCount), 2062 ("stDeqCount", stDeqCount)) 2063 // let index = 0 be no event 2064 val allPerfEvents = Seq(("noEvent", 0.U)) ++ perfFromUnits ++ perfFromPTW ++ perfBlock 2065 2066 if (printEventCoding) { 2067 for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 2068 println("MemBlock perfEvents Set", name, inc, i) 2069 } 2070 } 2071 2072 val allPerfInc = allPerfEvents.map(_._2.asTypeOf(new PerfEvent)) 2073 val perfEvents = HPerfMonitor(csrevents, allPerfInc).getPerfEvents 2074 generatePerfEvent() 2075 2076 private val mbistPl = MbistPipeline.PlaceMbistPipeline(Int.MaxValue, "MbistPipeMemBlk", hasMbist) 2077 private val mbistIntf = if(hasMbist) { 2078 val params = mbistPl.get.nodeParams 2079 val intf = Some(Module(new MbistInterface( 2080 params = Seq(params), 2081 ids = Seq(mbistPl.get.childrenIds), 2082 name = s"MbistIntfMemBlk", 2083 pipelineNum = 1 2084 ))) 2085 intf.get.toPipeline.head <> mbistPl.get.mbist 2086 mbistPl.get.registerCSV(intf.get.info, "MbistMemBlk") 2087 intf.get.mbist := DontCare 2088 dontTouch(intf.get.mbist) 2089 //TODO: add mbist controller connections here 2090 intf 2091 } else { 2092 None 2093 } 2094 private val sigFromSrams = if (hasMbist) Some(SramHelper.genBroadCastBundleTop()) else None 2095 private val cg = ClockGate.genTeSrc 2096 dontTouch(cg) 2097 if (hasMbist) { 2098 sigFromSrams.get := io.dft.get 2099 cg.cgen := io.dft.get.cgen 2100 io.dft_frnt.get := io.dft.get 2101 io.dft_reset_frnt.get := io.dft_reset.get 2102 io.dft_bcknd.get := io.dft.get 2103 io.dft_reset_bcknd.get := io.dft_reset.get 2104 } else { 2105 cg.cgen := false.B 2106 } 2107} 2108 2109class MemBlock()(implicit p: Parameters) extends LazyModule 2110 with HasXSParameter { 2111 override def shouldBeInlined: Boolean = false 2112 2113 val inner = LazyModule(new MemBlockInlined()) 2114 2115 lazy val module = new MemBlockImp(this) 2116} 2117 2118class MemBlockImp(wrapper: MemBlock) extends LazyModuleImp(wrapper) { 2119 val io = IO(wrapper.inner.module.io.cloneType) 2120 val io_perf = IO(wrapper.inner.module.io_perf.cloneType) 2121 io <> wrapper.inner.module.io 2122 io_perf <> wrapper.inner.module.io_perf 2123 2124 if (p(DebugOptionsKey).ResetGen) { 2125 ResetGen(ResetGenNode(Seq(ModuleNode(wrapper.inner.module))), reset, sim = false, io.dft_reset) 2126 } 2127} 2128