1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.diplomacy._ 23import freechips.rocketchip.diplomacy.{BundleBridgeSource, LazyModule, LazyModuleImp} 24import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortSimple} 25import freechips.rocketchip.tile.HasFPUParameters 26import freechips.rocketchip.tilelink._ 27import utils._ 28import utility._ 29import utility.mbist.{MbistInterface, MbistPipeline} 30import utility.sram.{SramMbistBundle, SramBroadcastBundle, SramHelper} 31import system.{HasSoCParameter, SoCParamsKey} 32import xiangshan._ 33import xiangshan.ExceptionNO._ 34import xiangshan.frontend.HasInstrMMIOConst 35import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 36import xiangshan.backend.ctrlblock.{DebugLSIO, LsTopdownInfo} 37import xiangshan.backend.exu.MemExeUnit 38import xiangshan.backend.fu._ 39import xiangshan.backend.fu.FuType._ 40import xiangshan.backend.fu.NewCSR.{CsrTriggerBundle, TriggerUtil, PFEvent} 41import xiangshan.backend.fu.util.{CSRConst, SdtrigExt} 42import xiangshan.backend.{BackendToTopBundle, TopToBackendBundle} 43import xiangshan.backend.rob.{RobDebugRollingIO, RobPtr, RobLsqIO} 44import xiangshan.backend.datapath.NewPipelineConnect 45import xiangshan.backend.trace.{Itype, TraceCoreInterface} 46import xiangshan.backend.Bundles._ 47import xiangshan.mem._ 48import xiangshan.mem.mdp._ 49import xiangshan.mem.Bundles._ 50import xiangshan.mem.prefetch.{BasePrefecher, L1Prefetcher, SMSParams, SMSPrefetcher} 51import xiangshan.cache._ 52import xiangshan.cache.mmu._ 53import coupledL2.PrefetchRecv 54import utility.mbist.{MbistInterface, MbistPipeline} 55import utility.sram.{SramBroadcastBundle, SramHelper} 56 57trait HasMemBlockParameters extends HasXSParameter { 58 // number of memory units 59 val LduCnt = backendParams.LduCnt 60 val StaCnt = backendParams.StaCnt 61 val StdCnt = backendParams.StdCnt 62 val HyuCnt = backendParams.HyuCnt 63 val VlduCnt = backendParams.VlduCnt 64 val VstuCnt = backendParams.VstuCnt 65 66 val LdExuCnt = LduCnt + HyuCnt 67 val StAddrCnt = StaCnt + HyuCnt 68 val StDataCnt = StdCnt 69 val MemExuCnt = LduCnt + HyuCnt + StaCnt + StdCnt 70 val MemAddrExtCnt = LdExuCnt + StaCnt 71 val MemVExuCnt = VlduCnt + VstuCnt 72 73 val AtomicWBPort = 0 74 val MisalignWBPort = 1 75 val UncacheWBPort = 2 76 val NCWBPorts = Seq(1, 2) 77} 78 79abstract class MemBlockBundle(implicit val p: Parameters) extends Bundle with HasMemBlockParameters 80 81class Std(cfg: FuConfig)(implicit p: Parameters) extends FuncUnit(cfg) { 82 io.in.ready := io.out.ready 83 io.out.valid := io.in.valid 84 io.out.bits := 0.U.asTypeOf(io.out.bits) 85 io.out.bits.res.data := io.in.bits.data.src(0) 86 io.out.bits.ctrl.robIdx := io.in.bits.ctrl.robIdx 87} 88 89class ooo_to_mem(implicit p: Parameters) extends MemBlockBundle { 90 val backendToTopBypass = Flipped(new BackendToTopBundle) 91 92 val loadFastMatch = Vec(LdExuCnt, Input(UInt(LdExuCnt.W))) 93 val loadFastFuOpType = Vec(LdExuCnt, Input(FuOpType())) 94 val loadFastImm = Vec(LdExuCnt, Input(UInt(12.W))) 95 val sfence = Input(new SfenceBundle) 96 val tlbCsr = Input(new TlbCsrBundle) 97 val lsqio = new Bundle { 98 val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 99 val scommit = Input(UInt(log2Up(CommitWidth + 1).W)) 100 val pendingMMIOld = Input(Bool()) 101 val pendingld = Input(Bool()) 102 val pendingst = Input(Bool()) 103 val pendingVst = Input(Bool()) 104 val commit = Input(Bool()) 105 val pendingPtr = Input(new RobPtr) 106 val pendingPtrNext = Input(new RobPtr) 107 } 108 109 val isStoreException = Input(Bool()) 110 val isVlsException = Input(Bool()) 111 val csrCtrl = Flipped(new CustomCSRCtrlIO) 112 val enqLsq = new LsqEnqIO 113 val flushSb = Input(Bool()) 114 115 val storePc = Vec(StaCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 116 val hybridPc = Vec(HyuCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 117 118 val issueLda = MixedVec(Seq.fill(LduCnt)(Flipped(DecoupledIO(new MemExuInput)))) 119 val issueSta = MixedVec(Seq.fill(StaCnt)(Flipped(DecoupledIO(new MemExuInput)))) 120 val issueStd = MixedVec(Seq.fill(StdCnt)(Flipped(DecoupledIO(new MemExuInput)))) 121 val issueHya = MixedVec(Seq.fill(HyuCnt)(Flipped(DecoupledIO(new MemExuInput)))) 122 val issueVldu = MixedVec(Seq.fill(VlduCnt)(Flipped(DecoupledIO(new MemExuInput(isVector=true))))) 123 124 def issueUops = issueLda ++ issueSta ++ issueStd ++ issueHya ++ issueVldu 125} 126 127class mem_to_ooo(implicit p: Parameters) extends MemBlockBundle { 128 val topToBackendBypass = new TopToBackendBundle 129 130 val otherFastWakeup = Vec(LdExuCnt, ValidIO(new DynInst)) 131 val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 132 val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W)) 133 val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 134 val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 135 // used by VLSU issue queue, the vector store would wait all store before it, and the vector load would wait all load 136 val sqDeqPtr = Output(new SqPtr) 137 val lqDeqPtr = Output(new LqPtr) 138 val stIn = Vec(StAddrCnt, ValidIO(new MemExuInput)) 139 val stIssuePtr = Output(new SqPtr()) 140 141 val memoryViolation = ValidIO(new Redirect) 142 val sbIsEmpty = Output(Bool()) 143 144 val lsTopdownInfo = Vec(LdExuCnt, Output(new LsTopdownInfo)) 145 146 val lsqio = new Bundle { 147 val vaddr = Output(UInt(XLEN.W)) 148 val vstart = Output(UInt((log2Up(VLEN) + 1).W)) 149 val vl = Output(UInt((log2Up(VLEN) + 1).W)) 150 val gpaddr = Output(UInt(XLEN.W)) 151 val isForVSnonLeafPTE = Output(Bool()) 152 val mmio = Output(Vec(LoadPipelineWidth, Bool())) 153 val uop = Output(Vec(LoadPipelineWidth, new DynInst)) 154 val lqCanAccept = Output(Bool()) 155 val sqCanAccept = Output(Bool()) 156 } 157 158 val storeDebugInfo = Vec(EnsbufferWidth, new Bundle { 159 val robidx = Output(new RobPtr) 160 val pc = Input(UInt(VAddrBits.W)) 161 }) 162 163 val writebackLda = Vec(LduCnt, DecoupledIO(new MemExuOutput)) 164 val writebackSta = Vec(StaCnt, DecoupledIO(new MemExuOutput)) 165 val writebackStd = Vec(StdCnt, DecoupledIO(new MemExuOutput)) 166 val writebackHyuLda = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 167 val writebackHyuSta = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 168 val writebackVldu = Vec(VlduCnt, DecoupledIO(new MemExuOutput(isVector = true))) 169 def writeBack: Seq[DecoupledIO[MemExuOutput]] = { 170 writebackSta ++ 171 writebackHyuLda ++ writebackHyuSta ++ 172 writebackLda ++ 173 writebackVldu ++ 174 writebackStd 175 } 176 177 val ldaIqFeedback = Vec(LduCnt, new MemRSFeedbackIO) 178 val staIqFeedback = Vec(StaCnt, new MemRSFeedbackIO) 179 val hyuIqFeedback = Vec(HyuCnt, new MemRSFeedbackIO) 180 val vstuIqFeedback= Vec(VstuCnt, new MemRSFeedbackIO(isVector = true)) 181 val vlduIqFeedback= Vec(VlduCnt, new MemRSFeedbackIO(isVector = true)) 182 val ldCancel = Vec(backendParams.LdExuCnt, new LoadCancelIO) 183 val wakeup = Vec(backendParams.LdExuCnt, Valid(new DynInst)) 184 185 val s3_delayed_load_error = Vec(LdExuCnt, Output(Bool())) 186} 187 188class MemCoreTopDownIO extends Bundle { 189 val robHeadMissInDCache = Output(Bool()) 190 val robHeadTlbReplay = Output(Bool()) 191 val robHeadTlbMiss = Output(Bool()) 192 val robHeadLoadVio = Output(Bool()) 193 val robHeadLoadMSHR = Output(Bool()) 194} 195 196class fetch_to_mem(implicit p: Parameters) extends XSBundle{ 197 val itlb = Flipped(new TlbPtwIO()) 198} 199 200// triple buffer applied in i-mmio path (two at MemBlock, one at L2Top) 201class InstrUncacheBuffer()(implicit p: Parameters) extends LazyModule with HasInstrMMIOConst { 202 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 203 lazy val module = new InstrUncacheBufferImpl 204 205 class InstrUncacheBufferImpl extends LazyModuleImp(this) { 206 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 207 out.a <> BufferParams.default(BufferParams.default(in.a)) 208 in.d <> BufferParams.default(BufferParams.default(out.d)) 209 210 // only a.valid, a.ready, a.address can change 211 // hoping that the rest would be optimized to keep MemBlock port unchanged after adding buffer 212 out.a.bits.data := 0.U 213 out.a.bits.mask := Fill(mmioBusBytes, 1.U(1.W)) 214 out.a.bits.opcode := 4.U // Get 215 out.a.bits.size := log2Ceil(mmioBusBytes).U 216 out.a.bits.source := 0.U 217 } 218 } 219} 220 221// triple buffer applied in L1I$-L2 path (two at MemBlock, one at L2Top) 222class ICacheBuffer()(implicit p: Parameters) extends LazyModule { 223 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 224 lazy val module = new ICacheBufferImpl 225 226 class ICacheBufferImpl extends LazyModuleImp(this) { 227 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 228 out.a <> BufferParams.default(BufferParams.default(in.a)) 229 in.d <> BufferParams.default(BufferParams.default(out.d)) 230 } 231 } 232} 233 234class ICacheCtrlBuffer()(implicit p: Parameters) extends LazyModule { 235 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 236 lazy val module = new ICacheCtrlBufferImpl 237 238 class ICacheCtrlBufferImpl extends LazyModuleImp(this) { 239 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 240 out.a <> BufferParams.default(BufferParams.default(in.a)) 241 in.d <> BufferParams.default(BufferParams.default(out.d)) 242 } 243 } 244} 245 246// Frontend bus goes through MemBlock 247class FrontendBridge()(implicit p: Parameters) extends LazyModule { 248 val icache_node = LazyModule(new ICacheBuffer()).suggestName("icache").node// to keep IO port name 249 val icachectrl_node = LazyModule(new ICacheCtrlBuffer()).suggestName("icachectrl").node 250 val instr_uncache_node = LazyModule(new InstrUncacheBuffer()).suggestName("instr_uncache").node 251 lazy val module = new LazyModuleImp(this) { 252 } 253} 254 255class MemBlockInlined()(implicit p: Parameters) extends LazyModule 256 with HasXSParameter { 257 override def shouldBeInlined: Boolean = true 258 259 val dcache = LazyModule(new DCacheWrapper()) 260 val uncache = LazyModule(new Uncache()) 261 val uncache_port = TLTempNode() 262 val uncache_xbar = TLXbar() 263 val ptw = LazyModule(new L2TLBWrapper()) 264 val ptw_to_l2_buffer = if (!coreParams.softPTW) LazyModule(new TLBuffer) else null 265 val l1d_to_l2_buffer = if (coreParams.dcacheParametersOpt.nonEmpty) LazyModule(new TLBuffer) else null 266 val dcache_port = TLNameNode("dcache_client") // to keep dcache-L2 port name 267 val l2_pf_sender_opt = coreParams.prefetcher.map(_ => 268 BundleBridgeSource(() => new PrefetchRecv) 269 ) 270 val l3_pf_sender_opt = if (p(SoCParamsKey).L3CacheParamsOpt.nonEmpty) coreParams.prefetcher.map(_ => 271 BundleBridgeSource(() => new huancun.PrefetchRecv) 272 ) else None 273 val frontendBridge = LazyModule(new FrontendBridge) 274 // interrupt sinks 275 val clint_int_sink = IntSinkNode(IntSinkPortSimple(1, 2)) 276 val debug_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 277 val plic_int_sink = IntSinkNode(IntSinkPortSimple(2, 1)) 278 val nmi_int_sink = IntSinkNode(IntSinkPortSimple(1, (new NonmaskableInterruptIO).elements.size)) 279 val beu_local_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 280 281 if (!coreParams.softPTW) { 282 ptw_to_l2_buffer.node := ptw.node 283 } 284 uncache_xbar := TLBuffer() := uncache.clientNode 285 if (dcache.uncacheNode.isDefined) { 286 dcache.uncacheNode.get := TLBuffer.chainNode(2) := uncache_xbar 287 } 288 uncache_port := TLBuffer.chainNode(2) := uncache_xbar 289 290 lazy val module = new MemBlockInlinedImp(this) 291} 292 293class MemBlockInlinedImp(outer: MemBlockInlined) extends LazyModuleImp(outer) 294 with HasXSParameter 295 with HasFPUParameters 296 with HasPerfEvents 297 with HasSoCParameter 298 with HasL1PrefetchSourceParameter 299 with HasCircularQueuePtrHelper 300 with HasMemBlockParameters 301 with HasTlbConst 302 with SdtrigExt 303{ 304 val io = IO(new Bundle { 305 val hartId = Input(UInt(hartIdLen.W)) 306 val redirect = Flipped(ValidIO(new Redirect)) 307 308 val ooo_to_mem = new ooo_to_mem 309 val mem_to_ooo = new mem_to_ooo 310 val fetch_to_mem = new fetch_to_mem 311 312 val ifetchPrefetch = Vec(LduCnt, ValidIO(new SoftIfetchPrefetchBundle)) 313 314 // misc 315 val error = ValidIO(new L1CacheErrorInfo) 316 val memInfo = new Bundle { 317 val sqFull = Output(Bool()) 318 val lqFull = Output(Bool()) 319 val dcacheMSHRFull = Output(Bool()) 320 } 321 val debug_ls = new DebugLSIO 322 val l2_hint = Input(Valid(new L2ToL1Hint())) 323 val l2PfqBusy = Input(Bool()) 324 val l2_tlb_req = Flipped(new TlbRequestIO(nRespDups = 2)) 325 val l2_pmp_resp = new PMPRespBundle 326 val l2_flush_done = Input(Bool()) 327 328 val debugTopDown = new Bundle { 329 val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 330 val toCore = new MemCoreTopDownIO 331 } 332 val debugRolling = Flipped(new RobDebugRollingIO) 333 334 // All the signals from/to frontend/backend to/from bus will go through MemBlock 335 val fromTopToBackend = Input(new Bundle { 336 val msiInfo = ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W)) 337 val clintTime = ValidIO(UInt(64.W)) 338 }) 339 val inner_hartId = Output(UInt(hartIdLen.W)) 340 val inner_reset_vector = Output(UInt(PAddrBits.W)) 341 val outer_reset_vector = Input(UInt(PAddrBits.W)) 342 val outer_cpu_halt = Output(Bool()) 343 val outer_l2_flush_en = Output(Bool()) 344 val outer_power_down_en = Output(Bool()) 345 val outer_cpu_critical_error = Output(Bool()) 346 val outer_msi_ack = Output(Bool()) 347 val inner_beu_errors_icache = Input(new L1BusErrorUnitInfo) 348 val outer_beu_errors_icache = Output(new L1BusErrorUnitInfo) 349 val inner_hc_perfEvents = Output(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 350 val outer_hc_perfEvents = Input(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 351 352 // reset signals of frontend & backend are generated in memblock 353 val reset_backend = Output(Reset()) 354 // Reset singal from frontend. 355 val resetInFrontendBypass = new Bundle{ 356 val fromFrontend = Input(Bool()) 357 val toL2Top = Output(Bool()) 358 } 359 val traceCoreInterfaceBypass = new Bundle{ 360 val fromBackend = Flipped(new TraceCoreInterface(hasOffset = true)) 361 val toL2Top = new TraceCoreInterface 362 } 363 364 val topDownInfo = new Bundle { 365 val fromL2Top = Input(new TopDownFromL2Top) 366 val toBackend = Flipped(new TopDownInfo) 367 } 368 val sramTestBypass = new Bundle() { 369 val fromL2Top = new Bundle() { 370 val mbist = Option.when(hasMbist)(Input(new SramMbistBundle)) 371 val mbistReset = Option.when(hasMbist)(Input(new DFTResetSignals())) 372 val sramCtl = Option.when(hasSramCtl)(Input(UInt(64.W))) 373 } 374 val toFrontend = new Bundle() { 375 val mbist = Option.when(hasMbist)(Output(new SramMbistBundle)) 376 val mbistReset = Option.when(hasMbist)(Output(new DFTResetSignals())) 377 val sramCtl = Option.when(hasSramCtl)(Output(UInt(64.W))) 378 } 379 val toBackend = new Bundle() { 380 val mbist = Option.when(hasMbist)(Output(new SramMbistBundle)) 381 val mbistReset = Option.when(hasMbist)(Output(new DFTResetSignals())) 382 } 383 } 384 }) 385 386 io.mem_to_ooo.writeBack.zipWithIndex.foreach{ case (wb, i) => 387 PerfCCT.updateInstPos(wb.bits.uop.debug_seqNum, PerfCCT.InstPos.AtBypassVal.id.U, wb.valid, clock, reset) 388 } 389 390 dontTouch(io.inner_hartId) 391 dontTouch(io.inner_reset_vector) 392 dontTouch(io.outer_reset_vector) 393 dontTouch(io.outer_cpu_halt) 394 dontTouch(io.outer_l2_flush_en) 395 dontTouch(io.outer_power_down_en) 396 dontTouch(io.outer_cpu_critical_error) 397 dontTouch(io.inner_beu_errors_icache) 398 dontTouch(io.outer_beu_errors_icache) 399 dontTouch(io.inner_hc_perfEvents) 400 dontTouch(io.outer_hc_perfEvents) 401 402 val redirect = RegNextWithEnable(io.redirect) 403 404 private val dcache = outer.dcache.module 405 val uncache = outer.uncache.module 406 407 //val delayedDcacheRefill = RegNext(dcache.io.lsu.lsq) 408 409 val csrCtrl = DelayN(io.ooo_to_mem.csrCtrl, 2) 410 dcache.io.l2_pf_store_only := RegNext(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_store_only, false.B) 411 io.error <> DelayNWithValid(dcache.io.error, 2) 412 when(!csrCtrl.cache_error_enable){ 413 io.error.bits.report_to_beu := false.B 414 io.error.valid := false.B 415 } 416 417 val loadUnits = Seq.fill(LduCnt)(Module(new LoadUnit)) 418 val storeUnits = Seq.fill(StaCnt)(Module(new StoreUnit)) 419 val stdExeUnits = Seq.fill(StdCnt)(Module(new MemExeUnit(backendParams.memSchdParams.get.issueBlockParams.find(_.StdCnt != 0).get.exuBlockParams.head))) 420 val hybridUnits = Seq.fill(HyuCnt)(Module(new HybridUnit)) // Todo: replace it with HybridUnit 421 val stData = stdExeUnits.map(_.io.out) 422 val exeUnits = loadUnits ++ storeUnits 423 424 // The number of vector load/store units is decoupled with the number of load/store units 425 val vlSplit = Seq.fill(VlduCnt)(Module(new VLSplitImp)) 426 val vsSplit = Seq.fill(VstuCnt)(Module(new VSSplitImp)) 427 val vlMergeBuffer = Module(new VLMergeBufferImp) 428 val vsMergeBuffer = Seq.fill(VstuCnt)(Module(new VSMergeBufferImp)) 429 val vSegmentUnit = Module(new VSegmentUnit) 430 val vfofBuffer = Module(new VfofBuffer) 431 432 // misalign Buffer 433 val loadMisalignBuffer = Module(new LoadMisalignBuffer) 434 val storeMisalignBuffer = Module(new StoreMisalignBuffer) 435 436 val l1_pf_req = Wire(Decoupled(new L1PrefetchReq())) 437 dcache.io.sms_agt_evict_req.ready := false.B 438 val prefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 439 case _: SMSParams => 440 val sms = Module(new SMSPrefetcher()) 441 sms.io_agt_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_agt, 2, Some(false.B)) 442 sms.io_pht_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_pht, 2, Some(false.B)) 443 sms.io_act_threshold := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_threshold, 2, Some(12.U)) 444 sms.io_act_stride := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_stride, 2, Some(30.U)) 445 sms.io_stride_en := false.B 446 sms.io_dcache_evict <> dcache.io.sms_agt_evict_req 447 val mbistSmsPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeSms", hasMbist) 448 sms 449 } 450 prefetcherOpt.foreach{ pf => pf.io.l1_req.ready := false.B } 451 val hartId = p(XSCoreParamsKey).HartId 452 val l1PrefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 453 case _ => 454 val l1Prefetcher = Module(new L1Prefetcher()) 455 val enableL1StreamPrefetcher = Constantin.createRecord(s"enableL1StreamPrefetcher$hartId", initValue = true) 456 l1Prefetcher.io.enable := enableL1StreamPrefetcher && 457 GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable, 2, Some(false.B)) 458 l1Prefetcher.pf_ctrl <> dcache.io.pf_ctrl 459 l1Prefetcher.l2PfqBusy := io.l2PfqBusy 460 461 // stride will train on miss or prefetch hit 462 for (i <- 0 until LduCnt) { 463 val source = loadUnits(i).io.prefetch_train_l1 464 l1Prefetcher.stride_train(i).valid := source.valid && source.bits.isFirstIssue && ( 465 source.bits.miss || isFromStride(source.bits.meta_prefetch) 466 ) 467 l1Prefetcher.stride_train(i).bits := source.bits 468 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 469 l1Prefetcher.stride_train(i).bits.uop.pc := Mux( 470 loadUnits(i).io.s2_ptr_chasing, 471 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 472 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 473 ) 474 } 475 for (i <- 0 until HyuCnt) { 476 val source = hybridUnits(i).io.prefetch_train_l1 477 l1Prefetcher.stride_train.drop(LduCnt)(i).valid := source.valid && source.bits.isFirstIssue && ( 478 source.bits.miss || isFromStride(source.bits.meta_prefetch) 479 ) 480 l1Prefetcher.stride_train.drop(LduCnt)(i).bits := source.bits 481 l1Prefetcher.stride_train.drop(LduCnt)(i).bits.uop.pc := Mux( 482 hybridUnits(i).io.ldu_io.s2_ptr_chasing, 483 RegNext(io.ooo_to_mem.hybridPc(i)), 484 RegNext(RegNext(io.ooo_to_mem.hybridPc(i))) 485 ) 486 } 487 l1Prefetcher 488 } 489 // load prefetch to l1 Dcache 490 l1PrefetcherOpt match { 491 case Some(pf) => l1_pf_req <> Pipeline(in = pf.io.l1_req, depth = 1, pipe = false, name = Some("pf_queue_to_ldu_reg")) 492 case None => 493 l1_pf_req.valid := false.B 494 l1_pf_req.bits := DontCare 495 } 496 val pf_train_on_hit = RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_train_on_hit, 2, Some(true.B)) 497 498 loadUnits.zipWithIndex.map(x => x._1.suggestName("LoadUnit_"+x._2)) 499 storeUnits.zipWithIndex.map(x => x._1.suggestName("StoreUnit_"+x._2)) 500 hybridUnits.zipWithIndex.map(x => x._1.suggestName("HybridUnit_"+x._2)) 501 val atomicsUnit = Module(new AtomicsUnit) 502 503 504 val ldaExeWbReqs = Wire(Vec(LduCnt, Decoupled(new MemExuOutput))) 505 // atomicsUnit will overwrite the source from ldu if it is about to writeback 506 val atomicWritebackOverride = Mux( 507 atomicsUnit.io.out.valid, 508 atomicsUnit.io.out.bits, 509 loadUnits(AtomicWBPort).io.ldout.bits 510 ) 511 ldaExeWbReqs(AtomicWBPort).valid := atomicsUnit.io.out.valid || loadUnits(AtomicWBPort).io.ldout.valid 512 ldaExeWbReqs(AtomicWBPort).bits := atomicWritebackOverride 513 atomicsUnit.io.out.ready := ldaExeWbReqs(AtomicWBPort).ready 514 loadUnits(AtomicWBPort).io.ldout.ready := ldaExeWbReqs(AtomicWBPort).ready 515 516 val st_data_atomics = Seq.tabulate(StdCnt)(i => 517 stData(i).valid && FuType.storeIsAMO(stData(i).bits.uop.fuType) 518 ) 519 520 // misalignBuffer will overwrite the source from ldu if it is about to writeback 521 val misalignWritebackOverride = Mux( 522 loadUnits(MisalignWBPort).io.ldout.valid, 523 loadUnits(MisalignWBPort).io.ldout.bits, 524 loadMisalignBuffer.io.writeBack.bits 525 ) 526 ldaExeWbReqs(MisalignWBPort).valid := loadMisalignBuffer.io.writeBack.valid || loadUnits(MisalignWBPort).io.ldout.valid 527 ldaExeWbReqs(MisalignWBPort).bits := misalignWritebackOverride 528 loadMisalignBuffer.io.writeBack.ready := ldaExeWbReqs(MisalignWBPort).ready && !loadUnits(MisalignWBPort).io.ldout.valid 529 loadMisalignBuffer.io.loadOutValid := loadUnits(MisalignWBPort).io.ldout.valid 530 loadMisalignBuffer.io.loadVecOutValid := loadUnits(MisalignWBPort).io.vecldout.valid 531 loadUnits(MisalignWBPort).io.ldout.ready := ldaExeWbReqs(MisalignWBPort).ready 532 ldaExeWbReqs(MisalignWBPort).bits.isFromLoadUnit := loadUnits(MisalignWBPort).io.ldout.bits.isFromLoadUnit || loadMisalignBuffer.io.writeBack.valid 533 534 // loadUnit will overwrite the source from uncache if it is about to writeback 535 ldaExeWbReqs(UncacheWBPort) <> loadUnits(UncacheWBPort).io.ldout 536 io.mem_to_ooo.writebackLda <> ldaExeWbReqs 537 io.mem_to_ooo.writebackSta <> storeUnits.map(_.io.stout) 538 io.mem_to_ooo.writebackStd.zip(stdExeUnits).foreach {x => 539 x._1.bits := x._2.io.out.bits 540 // AMOs do not need to write back std now. 541 x._1.valid := x._2.io.out.fire && !FuType.storeIsAMO(x._2.io.out.bits.uop.fuType) 542 } 543 io.mem_to_ooo.writebackHyuLda <> hybridUnits.map(_.io.ldout) 544 io.mem_to_ooo.writebackHyuSta <> hybridUnits.map(_.io.stout) 545 io.mem_to_ooo.otherFastWakeup := DontCare 546 io.mem_to_ooo.otherFastWakeup.drop(HyuCnt).take(LduCnt).zip(loadUnits.map(_.io.fast_uop)).foreach{case(a,b)=> a := b} 547 io.mem_to_ooo.otherFastWakeup.take(HyuCnt).zip(hybridUnits.map(_.io.ldu_io.fast_uop)).foreach{case(a,b)=> a:=b} 548 val stOut = io.mem_to_ooo.writebackSta ++ io.mem_to_ooo.writebackHyuSta 549 550 // prefetch to l1 req 551 // Stream's confidence is always 1 552 // (LduCnt + HyuCnt) l1_pf_reqs ? 553 loadUnits.foreach(load_unit => { 554 load_unit.io.prefetch_req.valid <> l1_pf_req.valid 555 load_unit.io.prefetch_req.bits <> l1_pf_req.bits 556 }) 557 558 hybridUnits.foreach(hybrid_unit => { 559 hybrid_unit.io.ldu_io.prefetch_req.valid <> l1_pf_req.valid 560 hybrid_unit.io.ldu_io.prefetch_req.bits <> l1_pf_req.bits 561 }) 562 563 // NOTE: loadUnits(0) has higher bank conflict and miss queue arb priority than loadUnits(1) and loadUnits(2) 564 // when loadUnits(1)/loadUnits(2) stage 0 is busy, hw prefetch will never use that pipeline 565 val LowConfPorts = if (LduCnt == 2) Seq(1) else if (LduCnt == 3) Seq(1, 2) else Seq(0) 566 LowConfPorts.map{case i => loadUnits(i).io.prefetch_req.bits.confidence := 0.U} 567 hybridUnits.foreach(hybrid_unit => { hybrid_unit.io.ldu_io.prefetch_req.bits.confidence := 0.U }) 568 569 val canAcceptHighConfPrefetch = loadUnits.map(_.io.canAcceptHighConfPrefetch) ++ 570 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 571 val canAcceptLowConfPrefetch = loadUnits.map(_.io.canAcceptLowConfPrefetch) ++ 572 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 573 l1_pf_req.ready := (0 until LduCnt + HyuCnt).map{ 574 case i => { 575 if (LowConfPorts.contains(i)) { 576 loadUnits(i).io.canAcceptLowConfPrefetch 577 } else { 578 Mux(l1_pf_req.bits.confidence === 1.U, canAcceptHighConfPrefetch(i), canAcceptLowConfPrefetch(i)) 579 } 580 } 581 }.reduce(_ || _) 582 583 // l1 pf fuzzer interface 584 val DebugEnableL1PFFuzzer = false 585 if (DebugEnableL1PFFuzzer) { 586 // l1 pf req fuzzer 587 val fuzzer = Module(new L1PrefetchFuzzer()) 588 fuzzer.io.vaddr := DontCare 589 fuzzer.io.paddr := DontCare 590 591 // override load_unit prefetch_req 592 loadUnits.foreach(load_unit => { 593 load_unit.io.prefetch_req.valid <> fuzzer.io.req.valid 594 load_unit.io.prefetch_req.bits <> fuzzer.io.req.bits 595 }) 596 597 // override hybrid_unit prefetch_req 598 hybridUnits.foreach(hybrid_unit => { 599 hybrid_unit.io.ldu_io.prefetch_req.valid <> fuzzer.io.req.valid 600 hybrid_unit.io.ldu_io.prefetch_req.bits <> fuzzer.io.req.bits 601 }) 602 603 fuzzer.io.req.ready := l1_pf_req.ready 604 } 605 606 // TODO: fast load wakeup 607 val lsq = Module(new LsqWrapper) 608 val sbuffer = Module(new Sbuffer) 609 // if you wants to stress test dcache store, use FakeSbuffer 610 // val sbuffer = Module(new FakeSbuffer) // out of date now 611 io.mem_to_ooo.stIssuePtr := lsq.io.issuePtrExt 612 613 dcache.io.hartId := io.hartId 614 lsq.io.hartId := io.hartId 615 sbuffer.io.hartId := io.hartId 616 atomicsUnit.io.hartId := io.hartId 617 618 dcache.io.lqEmpty := lsq.io.lqEmpty 619 620 // load/store prefetch to l2 cache 621 prefetcherOpt.foreach(sms_pf => { 622 l1PrefetcherOpt.foreach(l1_pf => { 623 val sms_pf_to_l2 = DelayNWithValid(sms_pf.io.l2_req, 2) 624 val l1_pf_to_l2 = DelayNWithValid(l1_pf.io.l2_req, 2) 625 626 outer.l2_pf_sender_opt.get.out.head._1.addr_valid := sms_pf_to_l2.valid || l1_pf_to_l2.valid 627 outer.l2_pf_sender_opt.get.out.head._1.addr := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.addr, sms_pf_to_l2.bits.addr) 628 outer.l2_pf_sender_opt.get.out.head._1.pf_source := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.source, sms_pf_to_l2.bits.source) 629 outer.l2_pf_sender_opt.get.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 2, Some(true.B)) 630 631 sms_pf.io.enable := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable, 2, Some(false.B)) 632 633 val l2_trace = Wire(new LoadPfDbBundle) 634 l2_trace.paddr := outer.l2_pf_sender_opt.get.out.head._1.addr 635 val table = ChiselDB.createTable(s"L2PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 636 table.log(l2_trace, l1_pf_to_l2.valid, "StreamPrefetchTrace", clock, reset) 637 table.log(l2_trace, !l1_pf_to_l2.valid && sms_pf_to_l2.valid, "L2PrefetchTrace", clock, reset) 638 639 val l1_pf_to_l3 = ValidIODelay(l1_pf.io.l3_req, 4) 640 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr_valid := l1_pf_to_l3.valid) 641 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr := l1_pf_to_l3.bits) 642 outer.l3_pf_sender_opt.foreach(_.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 4, Some(true.B))) 643 644 val l3_trace = Wire(new LoadPfDbBundle) 645 l3_trace.paddr := outer.l3_pf_sender_opt.map(_.out.head._1.addr).getOrElse(0.U) 646 val l3_table = ChiselDB.createTable(s"L3PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 647 l3_table.log(l3_trace, l1_pf_to_l3.valid, "StreamPrefetchTrace", clock, reset) 648 649 XSPerfAccumulate("prefetch_fire_l2", outer.l2_pf_sender_opt.get.out.head._1.addr_valid) 650 XSPerfAccumulate("prefetch_fire_l3", outer.l3_pf_sender_opt.map(_.out.head._1.addr_valid).getOrElse(false.B)) 651 XSPerfAccumulate("l1pf_fire_l2", l1_pf_to_l2.valid) 652 XSPerfAccumulate("sms_fire_l2", !l1_pf_to_l2.valid && sms_pf_to_l2.valid) 653 XSPerfAccumulate("sms_block_by_l1pf", l1_pf_to_l2.valid && sms_pf_to_l2.valid) 654 }) 655 }) 656 657 // ptw 658 val sfence = RegNext(RegNext(io.ooo_to_mem.sfence)) 659 val tlbcsr = RegNext(RegNext(io.ooo_to_mem.tlbCsr)) 660 private val ptw = outer.ptw.module 661 private val ptw_to_l2_buffer = outer.ptw_to_l2_buffer.module 662 private val l1d_to_l2_buffer = outer.l1d_to_l2_buffer.module 663 ptw.io.hartId := io.hartId 664 ptw.io.sfence <> sfence 665 ptw.io.csr.tlb <> tlbcsr 666 ptw.io.csr.distribute_csr <> csrCtrl.distribute_csr 667 668 val perfEventsPTW = if (!coreParams.softPTW) { 669 ptw.getPerfEvents 670 } else { 671 Seq() 672 } 673 674 // dtlb 675 val dtlb_ld_tlb_ld = Module(new TLBNonBlock(LduCnt + HyuCnt + 1, 2, ldtlbParams)) 676 val dtlb_st_tlb_st = Module(new TLBNonBlock(StaCnt, 1, sttlbParams)) 677 val dtlb_prefetch_tlb_prefetch = Module(new TLBNonBlock(2, 2, pftlbParams)) 678 val dtlb_ld = Seq(dtlb_ld_tlb_ld.io) 679 val dtlb_st = Seq(dtlb_st_tlb_st.io) 680 val dtlb_prefetch = Seq(dtlb_prefetch_tlb_prefetch.io) 681 /* tlb vec && constant variable */ 682 val dtlb = dtlb_ld ++ dtlb_st ++ dtlb_prefetch 683 val (dtlb_ld_idx, dtlb_st_idx, dtlb_pf_idx) = (0, 1, 2) 684 val TlbSubSizeVec = Seq(LduCnt + HyuCnt + 1, StaCnt, 2) // (load + hyu + stream pf, store, sms+l2bop) 685 val DTlbSize = TlbSubSizeVec.sum 686 val TlbStartVec = TlbSubSizeVec.scanLeft(0)(_ + _).dropRight(1) 687 val TlbEndVec = TlbSubSizeVec.scanLeft(0)(_ + _).drop(1) 688 689 val ptwio = Wire(new VectorTlbPtwIO(DTlbSize)) 690 val dtlb_reqs = dtlb.map(_.requestor).flatten 691 val dtlb_pmps = dtlb.map(_.pmp).flatten 692 dtlb.map(_.hartId := io.hartId) 693 dtlb.map(_.sfence := sfence) 694 dtlb.map(_.csr := tlbcsr) 695 dtlb.map(_.flushPipe.map(a => a := false.B)) // non-block doesn't need 696 dtlb.map(_.redirect := redirect) 697 if (refillBothTlb) { 698 require(ldtlbParams.outReplace == sttlbParams.outReplace) 699 require(ldtlbParams.outReplace == hytlbParams.outReplace) 700 require(ldtlbParams.outReplace == pftlbParams.outReplace) 701 require(ldtlbParams.outReplace) 702 703 val replace = Module(new TlbReplace(DTlbSize, ldtlbParams)) 704 replace.io.apply_sep(dtlb_ld.map(_.replace) ++ dtlb_st.map(_.replace) ++ dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 705 } else { 706 // TODO: there will be bugs in TlbReplace when outReplace enable, since the order of Hyu is not right. 707 if (ldtlbParams.outReplace) { 708 val replace_ld = Module(new TlbReplace(LduCnt + 1, ldtlbParams)) 709 replace_ld.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 710 } 711 if (hytlbParams.outReplace) { 712 val replace_hy = Module(new TlbReplace(HyuCnt, hytlbParams)) 713 replace_hy.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 714 } 715 if (sttlbParams.outReplace) { 716 val replace_st = Module(new TlbReplace(StaCnt, sttlbParams)) 717 replace_st.io.apply_sep(dtlb_st.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 718 } 719 if (pftlbParams.outReplace) { 720 val replace_pf = Module(new TlbReplace(2, pftlbParams)) 721 replace_pf.io.apply_sep(dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 722 } 723 } 724 725 val ptw_resp_next = RegEnable(ptwio.resp.bits, ptwio.resp.valid) 726 val ptw_resp_v = RegNext(ptwio.resp.valid && !(sfence.valid && tlbcsr.satp.changed && tlbcsr.vsatp.changed && tlbcsr.hgatp.changed), init = false.B) 727 ptwio.resp.ready := true.B 728 729 val tlbreplay = WireInit(VecInit(Seq.fill(LdExuCnt)(false.B))) 730 val tlbreplay_reg = GatedValidRegNext(tlbreplay) 731 val dtlb_ld0_tlbreplay_reg = GatedValidRegNext(dtlb_ld(0).tlbreplay) 732 733 if (backendParams.debugEn){ dontTouch(tlbreplay) } 734 735 for (i <- 0 until LdExuCnt) { 736 tlbreplay(i) := dtlb_ld(0).ptw.req(i).valid && ptw_resp_next.vector(0) && ptw_resp_v && 737 ptw_resp_next.data.hit(dtlb_ld(0).ptw.req(i).bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true) 738 } 739 740 dtlb.flatMap(a => a.ptw.req) 741 .zipWithIndex 742 .foreach{ case (tlb, i) => 743 tlb.ready := ptwio.req(i).ready 744 ptwio.req(i).bits := tlb.bits 745 val vector_hit = if (refillBothTlb) Cat(ptw_resp_next.vector).orR 746 else if (i < TlbEndVec(dtlb_ld_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR 747 else if (i < TlbEndVec(dtlb_st_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR 748 else Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR 749 ptwio.req(i).valid := tlb.valid && !(ptw_resp_v && vector_hit && ptw_resp_next.data.hit(tlb.bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true)) 750 } 751 dtlb.foreach(_.ptw.resp.bits := ptw_resp_next.data) 752 if (refillBothTlb) { 753 dtlb.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector).orR) 754 } else { 755 dtlb_ld.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR) 756 dtlb_st.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR) 757 dtlb_prefetch.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR) 758 } 759 dtlb_ld.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.take(LduCnt + HyuCnt + 1)).orR) 760 dtlb_st.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.slice(LduCnt + HyuCnt + 1, LduCnt + HyuCnt + 1 + StaCnt)).orR) 761 dtlb_prefetch.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.drop(LduCnt + HyuCnt + 1 + StaCnt)).orR) 762 763 val dtlbRepeater = PTWNewFilter(ldtlbParams.fenceDelay, ptwio, ptw.io.tlb(1), sfence, tlbcsr, l2tlbParams.dfilterSize) 764 val itlbRepeater3 = PTWRepeaterNB(passReady = false, itlbParams.fenceDelay, io.fetch_to_mem.itlb, ptw.io.tlb(0), sfence, tlbcsr) 765 766 lsq.io.debugTopDown.robHeadMissInDTlb := dtlbRepeater.io.rob_head_miss_in_tlb 767 768 // pmp 769 val pmp = Module(new PMP()) 770 pmp.io.distribute_csr <> csrCtrl.distribute_csr 771 772 val pmp_checkers = Seq.fill(DTlbSize)(Module(new PMPChecker(4, leaveHitMux = true))) 773 val pmp_check = pmp_checkers.map(_.io) 774 for ((p,d) <- pmp_check zip dtlb_pmps) { 775 if (HasBitmapCheck) { 776 p.apply(tlbcsr.mbmc.CMODE.asBool, tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 777 } else { 778 p.apply(tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 779 } 780 require(p.req.bits.size.getWidth == d.bits.size.getWidth) 781 } 782 783 for (i <- 0 until LduCnt) { 784 io.debug_ls.debugLsInfo(i) := loadUnits(i).io.debug_ls 785 } 786 for (i <- 0 until HyuCnt) { 787 io.debug_ls.debugLsInfo.drop(LduCnt)(i) := hybridUnits(i).io.ldu_io.debug_ls 788 } 789 for (i <- 0 until StaCnt) { 790 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt)(i) := storeUnits(i).io.debug_ls 791 } 792 for (i <- 0 until HyuCnt) { 793 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt + StaCnt)(i) := hybridUnits(i).io.stu_io.debug_ls 794 } 795 796 io.mem_to_ooo.lsTopdownInfo := loadUnits.map(_.io.lsTopdownInfo) ++ hybridUnits.map(_.io.ldu_io.lsTopdownInfo) 797 798 // trigger 799 val tdata = RegInit(VecInit(Seq.fill(TriggerNum)(0.U.asTypeOf(new MatchTriggerIO)))) 800 val tEnable = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) 801 tEnable := csrCtrl.mem_trigger.tEnableVec 802 when(csrCtrl.mem_trigger.tUpdate.valid) { 803 tdata(csrCtrl.mem_trigger.tUpdate.bits.addr) := csrCtrl.mem_trigger.tUpdate.bits.tdata 804 } 805 val triggerCanRaiseBpExp = csrCtrl.mem_trigger.triggerCanRaiseBpExp 806 val debugMode = csrCtrl.mem_trigger.debugMode 807 808 val backendTriggerTimingVec = VecInit(tdata.map(_.timing)) 809 val backendTriggerChainVec = VecInit(tdata.map(_.chain)) 810 811 XSDebug(tEnable.asUInt.orR, "Debug Mode: At least one store trigger is enabled\n") 812 for (j <- 0 until TriggerNum) 813 PrintTriggerInfo(tEnable(j), tdata(j)) 814 815 // The segment instruction is executed atomically. 816 // After the segment instruction directive starts executing, no other instructions should be executed. 817 val vSegmentFlag = RegInit(false.B) 818 819 when(GatedValidRegNext(vSegmentUnit.io.in.fire)) { 820 vSegmentFlag := true.B 821 }.elsewhen(GatedValidRegNext(vSegmentUnit.io.uopwriteback.valid)) { 822 vSegmentFlag := false.B 823 } 824 825 val misalign_allow_spec = RegInit(true.B) 826 val ldu_rollback_with_misalign_nack = loadUnits.map(ldu => 827 ldu.io.lsq.ldin.bits.isFrmMisAlignBuf && ldu.io.lsq.ldin.bits.rep_info.rar_nack && ldu.io.rollback.valid 828 ).reduce(_ || _) 829 when (ldu_rollback_with_misalign_nack) { 830 misalign_allow_spec := false.B 831 } .elsewhen(lsq.io.rarValidCount < (LoadQueueRARSize - 4).U) { 832 misalign_allow_spec := true.B 833 } 834 835 // LoadUnit 836 val correctMissTrain = Constantin.createRecord(s"CorrectMissTrain$hartId", initValue = false) 837 838 for (i <- 0 until LduCnt) { 839 loadUnits(i).io.redirect <> redirect 840 loadUnits(i).io.misalign_allow_spec := misalign_allow_spec 841 842 // get input form dispatch 843 loadUnits(i).io.ldin <> io.ooo_to_mem.issueLda(i) 844 loadUnits(i).io.feedback_slow <> io.mem_to_ooo.ldaIqFeedback(i).feedbackSlow 845 io.mem_to_ooo.ldaIqFeedback(i).feedbackFast := DontCare 846 loadUnits(i).io.correctMissTrain := correctMissTrain 847 io.mem_to_ooo.ldCancel.drop(HyuCnt)(i) := loadUnits(i).io.ldCancel 848 io.mem_to_ooo.wakeup.drop(HyuCnt)(i) := loadUnits(i).io.wakeup 849 850 // vector 851 if (i < VlduCnt) { 852 loadUnits(i).io.vecldout.ready := false.B 853 } else { 854 loadUnits(i).io.vecldin.valid := false.B 855 loadUnits(i).io.vecldin.bits := DontCare 856 loadUnits(i).io.vecldout.ready := false.B 857 } 858 859 // fast replay 860 loadUnits(i).io.fast_rep_in <> loadUnits(i).io.fast_rep_out 861 862 // SoftPrefetch to frontend (prefetch.i) 863 loadUnits(i).io.ifetchPrefetch <> io.ifetchPrefetch(i) 864 865 // dcache access 866 loadUnits(i).io.dcache <> dcache.io.lsu.load(i) 867 if(i == 0){ 868 vSegmentUnit.io.rdcache := DontCare 869 dcache.io.lsu.load(i).req.valid := loadUnits(i).io.dcache.req.valid || vSegmentUnit.io.rdcache.req.valid 870 dcache.io.lsu.load(i).req.bits := Mux1H(Seq( 871 vSegmentUnit.io.rdcache.req.valid -> vSegmentUnit.io.rdcache.req.bits, 872 loadUnits(i).io.dcache.req.valid -> loadUnits(i).io.dcache.req.bits 873 )) 874 vSegmentUnit.io.rdcache.req.ready := dcache.io.lsu.load(i).req.ready 875 } 876 877 // Dcache requests must also be preempted by the segment. 878 when(vSegmentFlag){ 879 loadUnits(i).io.dcache.req.ready := false.B // Dcache is preempted. 880 881 dcache.io.lsu.load(0).pf_source := vSegmentUnit.io.rdcache.pf_source 882 dcache.io.lsu.load(0).s1_paddr_dup_lsu := vSegmentUnit.io.rdcache.s1_paddr_dup_lsu 883 dcache.io.lsu.load(0).s1_paddr_dup_dcache := vSegmentUnit.io.rdcache.s1_paddr_dup_dcache 884 dcache.io.lsu.load(0).s1_kill := vSegmentUnit.io.rdcache.s1_kill 885 dcache.io.lsu.load(0).s2_kill := vSegmentUnit.io.rdcache.s2_kill 886 dcache.io.lsu.load(0).s0_pc := vSegmentUnit.io.rdcache.s0_pc 887 dcache.io.lsu.load(0).s1_pc := vSegmentUnit.io.rdcache.s1_pc 888 dcache.io.lsu.load(0).s2_pc := vSegmentUnit.io.rdcache.s2_pc 889 dcache.io.lsu.load(0).is128Req := vSegmentUnit.io.rdcache.is128Req 890 }.otherwise { 891 loadUnits(i).io.dcache.req.ready := dcache.io.lsu.load(i).req.ready 892 893 dcache.io.lsu.load(0).pf_source := loadUnits(0).io.dcache.pf_source 894 dcache.io.lsu.load(0).s1_paddr_dup_lsu := loadUnits(0).io.dcache.s1_paddr_dup_lsu 895 dcache.io.lsu.load(0).s1_paddr_dup_dcache := loadUnits(0).io.dcache.s1_paddr_dup_dcache 896 dcache.io.lsu.load(0).s1_kill := loadUnits(0).io.dcache.s1_kill 897 dcache.io.lsu.load(0).s2_kill := loadUnits(0).io.dcache.s2_kill 898 dcache.io.lsu.load(0).s0_pc := loadUnits(0).io.dcache.s0_pc 899 dcache.io.lsu.load(0).s1_pc := loadUnits(0).io.dcache.s1_pc 900 dcache.io.lsu.load(0).s2_pc := loadUnits(0).io.dcache.s2_pc 901 dcache.io.lsu.load(0).is128Req := loadUnits(0).io.dcache.is128Req 902 } 903 904 // forward 905 loadUnits(i).io.lsq.forward <> lsq.io.forward(i) 906 loadUnits(i).io.sbuffer <> sbuffer.io.forward(i) 907 loadUnits(i).io.ubuffer <> uncache.io.forward(i) 908 loadUnits(i).io.tl_d_channel := dcache.io.lsu.forward_D(i) 909 loadUnits(i).io.forward_mshr <> dcache.io.lsu.forward_mshr(i) 910 // ld-ld violation check 911 loadUnits(i).io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(i) 912 loadUnits(i).io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(i) 913 // loadqueue old ptr 914 loadUnits(i).io.lsq.lqDeqPtr := lsq.io.lqDeqPtr 915 loadUnits(i).io.csrCtrl <> csrCtrl 916 // dcache refill req 917 // loadUnits(i).io.refill <> delayedDcacheRefill 918 // dtlb 919 loadUnits(i).io.tlb <> dtlb_reqs.take(LduCnt)(i) 920 if(i == 0 ){ // port 0 assign to vsegmentUnit 921 val vsegmentDtlbReqValid = vSegmentUnit.io.dtlb.req.valid // segment tlb resquest need to delay 1 cycle 922 dtlb_reqs.take(LduCnt)(i).req.valid := loadUnits(i).io.tlb.req.valid || RegNext(vsegmentDtlbReqValid) 923 vSegmentUnit.io.dtlb.req.ready := dtlb_reqs.take(LduCnt)(i).req.ready 924 dtlb_reqs.take(LduCnt)(i).req.bits := ParallelPriorityMux(Seq( 925 RegNext(vsegmentDtlbReqValid) -> RegEnable(vSegmentUnit.io.dtlb.req.bits, vsegmentDtlbReqValid), 926 loadUnits(i).io.tlb.req.valid -> loadUnits(i).io.tlb.req.bits 927 )) 928 } 929 // pmp 930 loadUnits(i).io.pmp <> pmp_check(i).resp 931 // st-ld violation query 932 val stld_nuke_query = storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query) 933 for (s <- 0 until StorePipelineWidth) { 934 loadUnits(i).io.stld_nuke_query(s) := stld_nuke_query(s) 935 } 936 loadUnits(i).io.lq_rep_full <> lsq.io.lq_rep_full 937 // load prefetch train 938 prefetcherOpt.foreach(pf => { 939 // sms will train on all miss load sources 940 val source = loadUnits(i).io.prefetch_train 941 pf.io.ld_in(i).valid := Mux(pf_train_on_hit, 942 source.valid, 943 source.valid && source.bits.isFirstIssue && source.bits.miss 944 ) 945 pf.io.ld_in(i).bits := source.bits 946 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 947 pf.io.ld_in(i).bits.uop.pc := Mux( 948 loadUnits(i).io.s2_ptr_chasing, 949 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 950 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 951 ) 952 }) 953 l1PrefetcherOpt.foreach(pf => { 954 // stream will train on all load sources 955 val source = loadUnits(i).io.prefetch_train_l1 956 pf.io.ld_in(i).valid := source.valid && source.bits.isFirstIssue 957 pf.io.ld_in(i).bits := source.bits 958 }) 959 960 // load to load fast forward: load(i) prefers data(i) 961 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 962 val fastPriority = (i until LduCnt + HyuCnt) ++ (0 until i) 963 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 964 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 965 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 966 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(i)(j)) 967 loadUnits(i).io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 968 loadUnits(i).io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 969 loadUnits(i).io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 970 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 971 loadUnits(i).io.ld_fast_match := fastMatch 972 loadUnits(i).io.ld_fast_imm := io.ooo_to_mem.loadFastImm(i) 973 loadUnits(i).io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(i) 974 loadUnits(i).io.replay <> lsq.io.replay(i) 975 976 val l2_hint = RegNext(io.l2_hint) 977 978 // L2 Hint for DCache 979 dcache.io.l2_hint <> l2_hint 980 981 loadUnits(i).io.l2_hint <> l2_hint 982 loadUnits(i).io.tlb_hint.id := dtlbRepeater.io.hint.get.req(i).id 983 loadUnits(i).io.tlb_hint.full := dtlbRepeater.io.hint.get.req(i).full || 984 tlbreplay_reg(i) || dtlb_ld0_tlbreplay_reg(i) 985 986 // passdown to lsq (load s2) 987 lsq.io.ldu.ldin(i) <> loadUnits(i).io.lsq.ldin 988 if (i == UncacheWBPort) { 989 lsq.io.ldout(i) <> loadUnits(i).io.lsq.uncache 990 } else { 991 lsq.io.ldout(i).ready := true.B 992 loadUnits(i).io.lsq.uncache.valid := false.B 993 loadUnits(i).io.lsq.uncache.bits := DontCare 994 } 995 lsq.io.ld_raw_data(i) <> loadUnits(i).io.lsq.ld_raw_data 996 lsq.io.ncOut(i) <> loadUnits(i).io.lsq.nc_ldin 997 lsq.io.l2_hint.valid := l2_hint.valid 998 lsq.io.l2_hint.bits.sourceId := l2_hint.bits.sourceId 999 lsq.io.l2_hint.bits.isKeyword := l2_hint.bits.isKeyword 1000 1001 lsq.io.tlb_hint <> dtlbRepeater.io.hint.get 1002 1003 // connect misalignBuffer 1004 loadMisalignBuffer.io.req(i) <> loadUnits(i).io.misalign_buf 1005 1006 if (i == MisalignWBPort) { 1007 loadUnits(i).io.misalign_ldin <> loadMisalignBuffer.io.splitLoadReq 1008 loadUnits(i).io.misalign_ldout <> loadMisalignBuffer.io.splitLoadResp 1009 } else { 1010 loadUnits(i).io.misalign_ldin.valid := false.B 1011 loadUnits(i).io.misalign_ldin.bits := DontCare 1012 } 1013 1014 // alter writeback exception info 1015 io.mem_to_ooo.s3_delayed_load_error(i) := loadUnits(i).io.s3_dly_ld_err 1016 1017 // update mem dependency predictor 1018 // io.memPredUpdate(i) := DontCare 1019 1020 // -------------------------------- 1021 // Load Triggers 1022 // -------------------------------- 1023 loadUnits(i).io.fromCsrTrigger.tdataVec := tdata 1024 loadUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 1025 loadUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1026 loadUnits(i).io.fromCsrTrigger.debugMode := debugMode 1027 } 1028 1029 for (i <- 0 until HyuCnt) { 1030 hybridUnits(i).io.redirect <> redirect 1031 1032 // get input from dispatch 1033 hybridUnits(i).io.lsin <> io.ooo_to_mem.issueHya(i) 1034 hybridUnits(i).io.feedback_slow <> io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow 1035 hybridUnits(i).io.feedback_fast <> io.mem_to_ooo.hyuIqFeedback(i).feedbackFast 1036 hybridUnits(i).io.correctMissTrain := correctMissTrain 1037 io.mem_to_ooo.ldCancel.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.ldCancel 1038 io.mem_to_ooo.wakeup.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.wakeup 1039 1040 // ------------------------------------ 1041 // Load Port 1042 // ------------------------------------ 1043 // fast replay 1044 hybridUnits(i).io.ldu_io.fast_rep_in <> hybridUnits(i).io.ldu_io.fast_rep_out 1045 1046 // get input from dispatch 1047 hybridUnits(i).io.ldu_io.dcache <> dcache.io.lsu.load(LduCnt + i) 1048 hybridUnits(i).io.stu_io.dcache <> dcache.io.lsu.sta(StaCnt + i) 1049 1050 // dcache access 1051 hybridUnits(i).io.ldu_io.lsq.forward <> lsq.io.forward(LduCnt + i) 1052 // forward 1053 hybridUnits(i).io.ldu_io.sbuffer <> sbuffer.io.forward(LduCnt + i) 1054 hybridUnits(i).io.ldu_io.ubuffer <> uncache.io.forward(LduCnt + i) 1055 // hybridUnits(i).io.ldu_io.vec_forward <> vsFlowQueue.io.forward(LduCnt + i) 1056 hybridUnits(i).io.ldu_io.vec_forward := DontCare 1057 hybridUnits(i).io.ldu_io.tl_d_channel := dcache.io.lsu.forward_D(LduCnt + i) 1058 hybridUnits(i).io.ldu_io.forward_mshr <> dcache.io.lsu.forward_mshr(LduCnt + i) 1059 // ld-ld violation check 1060 hybridUnits(i).io.ldu_io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(LduCnt + i) 1061 hybridUnits(i).io.ldu_io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(LduCnt + i) 1062 hybridUnits(i).io.csrCtrl <> csrCtrl 1063 // dcache refill req 1064 hybridUnits(i).io.ldu_io.tlb_hint.id := dtlbRepeater.io.hint.get.req(LduCnt + i).id 1065 hybridUnits(i).io.ldu_io.tlb_hint.full := dtlbRepeater.io.hint.get.req(LduCnt + i).full || 1066 tlbreplay_reg(LduCnt + i) || dtlb_ld0_tlbreplay_reg(LduCnt + i) 1067 1068 // dtlb 1069 hybridUnits(i).io.tlb <> dtlb_ld.head.requestor(LduCnt + i) 1070 // pmp 1071 hybridUnits(i).io.pmp <> pmp_check.drop(LduCnt)(i).resp 1072 // st-ld violation query 1073 val stld_nuke_query = VecInit(storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query)) 1074 hybridUnits(i).io.ldu_io.stld_nuke_query := stld_nuke_query 1075 hybridUnits(i).io.ldu_io.lq_rep_full <> lsq.io.lq_rep_full 1076 // load prefetch train 1077 prefetcherOpt.foreach(pf => { 1078 val source = hybridUnits(i).io.prefetch_train 1079 pf.io.ld_in(LduCnt + i).valid := Mux(pf_train_on_hit, 1080 source.valid, 1081 source.valid && source.bits.isFirstIssue && source.bits.miss 1082 ) 1083 pf.io.ld_in(LduCnt + i).bits := source.bits 1084 pf.io.ld_in(LduCnt + i).bits.uop.pc := Mux(hybridUnits(i).io.ldu_io.s2_ptr_chasing, io.ooo_to_mem.hybridPc(i), RegNext(io.ooo_to_mem.hybridPc(i))) 1085 }) 1086 l1PrefetcherOpt.foreach(pf => { 1087 // stream will train on all load sources 1088 val source = hybridUnits(i).io.prefetch_train_l1 1089 pf.io.ld_in(LduCnt + i).valid := source.valid && source.bits.isFirstIssue && 1090 FuType.isLoad(source.bits.uop.fuType) 1091 pf.io.ld_in(LduCnt + i).bits := source.bits 1092 pf.io.st_in(StaCnt + i).valid := false.B 1093 pf.io.st_in(StaCnt + i).bits := DontCare 1094 }) 1095 prefetcherOpt.foreach(pf => { 1096 val source = hybridUnits(i).io.prefetch_train 1097 pf.io.st_in(StaCnt + i).valid := Mux(pf_train_on_hit, 1098 source.valid, 1099 source.valid && source.bits.isFirstIssue && source.bits.miss 1100 ) && FuType.isStore(source.bits.uop.fuType) 1101 pf.io.st_in(StaCnt + i).bits := source.bits 1102 pf.io.st_in(StaCnt + i).bits.uop.pc := RegNext(io.ooo_to_mem.hybridPc(i)) 1103 }) 1104 1105 // load to load fast forward: load(i) prefers data(i) 1106 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 1107 val fastPriority = (LduCnt + i until LduCnt + HyuCnt) ++ (0 until LduCnt + i) 1108 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 1109 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 1110 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 1111 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(LduCnt + i)(j)) 1112 hybridUnits(i).io.ldu_io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 1113 hybridUnits(i).io.ldu_io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 1114 hybridUnits(i).io.ldu_io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 1115 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 1116 hybridUnits(i).io.ldu_io.ld_fast_match := fastMatch 1117 hybridUnits(i).io.ldu_io.ld_fast_imm := io.ooo_to_mem.loadFastImm(LduCnt + i) 1118 hybridUnits(i).io.ldu_io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(LduCnt + i) 1119 hybridUnits(i).io.ldu_io.replay <> lsq.io.replay(LduCnt + i) 1120 hybridUnits(i).io.ldu_io.l2_hint <> io.l2_hint 1121 1122 // uncache 1123 lsq.io.ldout.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.uncache 1124 lsq.io.ld_raw_data.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.ld_raw_data 1125 1126 1127 // passdown to lsq (load s2) 1128 hybridUnits(i).io.ldu_io.lsq.nc_ldin.valid := false.B 1129 hybridUnits(i).io.ldu_io.lsq.nc_ldin.bits := DontCare 1130 lsq.io.ldu.ldin(LduCnt + i) <> hybridUnits(i).io.ldu_io.lsq.ldin 1131 // Lsq to sta unit 1132 lsq.io.sta.storeMaskIn(StaCnt + i) <> hybridUnits(i).io.stu_io.st_mask_out 1133 1134 // Lsq to std unit's rs 1135 lsq.io.std.storeDataIn(StaCnt + i) := stData(StaCnt + i) 1136 lsq.io.std.storeDataIn(StaCnt + i).valid := stData(StaCnt + i).valid && !st_data_atomics(StaCnt + i) 1137 // prefetch 1138 hybridUnits(i).io.stu_io.prefetch_req <> sbuffer.io.store_prefetch(StaCnt + i) 1139 1140 io.mem_to_ooo.s3_delayed_load_error(LduCnt + i) := hybridUnits(i).io.ldu_io.s3_dly_ld_err 1141 1142 // ------------------------------------ 1143 // Store Port 1144 // ------------------------------------ 1145 hybridUnits(i).io.stu_io.lsq <> lsq.io.sta.storeAddrIn.takeRight(HyuCnt)(i) 1146 hybridUnits(i).io.stu_io.lsq_replenish <> lsq.io.sta.storeAddrInRe.takeRight(HyuCnt)(i) 1147 1148 lsq.io.sta.storeMaskIn.takeRight(HyuCnt)(i) <> hybridUnits(i).io.stu_io.st_mask_out 1149 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).valid := hybridUnits(i).io.stu_io.issue.valid 1150 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).bits := hybridUnits(i).io.stu_io.issue.bits 1151 1152 // ------------------------------------ 1153 // Vector Store Port 1154 // ------------------------------------ 1155 hybridUnits(i).io.vec_stu_io.isFirstIssue := true.B 1156 1157 // ------------------------- 1158 // Store Triggers 1159 // ------------------------- 1160 hybridUnits(i).io.fromCsrTrigger.tdataVec := tdata 1161 hybridUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 1162 hybridUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1163 hybridUnits(i).io.fromCsrTrigger.debugMode := debugMode 1164 } 1165 1166 // misalignBuffer 1167 loadMisalignBuffer.io.redirect <> redirect 1168 loadMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1169 loadMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1170 loadMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1171 loadMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1172 loadMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1173 loadMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1174 loadMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1175 loadMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1176 loadMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1177 1178 lsq.io.loadMisalignFull := loadMisalignBuffer.io.loadMisalignFull 1179 lsq.io.misalignAllowSpec := misalign_allow_spec 1180 1181 storeMisalignBuffer.io.redirect <> redirect 1182 storeMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1183 storeMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1184 storeMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1185 storeMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1186 storeMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1187 storeMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1188 storeMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1189 storeMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1190 storeMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1191 1192 lsq.io.maControl <> storeMisalignBuffer.io.sqControl 1193 1194 lsq.io.cmoOpReq <> dcache.io.cmoOpReq 1195 lsq.io.cmoOpResp <> dcache.io.cmoOpResp 1196 1197 // Prefetcher 1198 val StreamDTLBPortIndex = TlbStartVec(dtlb_ld_idx) + LduCnt + HyuCnt 1199 val PrefetcherDTLBPortIndex = TlbStartVec(dtlb_pf_idx) 1200 val L2toL1DLBPortIndex = TlbStartVec(dtlb_pf_idx) + 1 1201 prefetcherOpt match { 1202 case Some(pf) => 1203 dtlb_reqs(PrefetcherDTLBPortIndex) <> pf.io.tlb_req 1204 pf.io.pmp_resp := pmp_check(PrefetcherDTLBPortIndex).resp 1205 case None => 1206 dtlb_reqs(PrefetcherDTLBPortIndex) := DontCare 1207 dtlb_reqs(PrefetcherDTLBPortIndex).req.valid := false.B 1208 dtlb_reqs(PrefetcherDTLBPortIndex).resp.ready := true.B 1209 } 1210 l1PrefetcherOpt match { 1211 case Some(pf) => 1212 dtlb_reqs(StreamDTLBPortIndex) <> pf.io.tlb_req 1213 pf.io.pmp_resp := pmp_check(StreamDTLBPortIndex).resp 1214 case None => 1215 dtlb_reqs(StreamDTLBPortIndex) := DontCare 1216 dtlb_reqs(StreamDTLBPortIndex).req.valid := false.B 1217 dtlb_reqs(StreamDTLBPortIndex).resp.ready := true.B 1218 } 1219 dtlb_reqs(L2toL1DLBPortIndex) <> io.l2_tlb_req 1220 dtlb_reqs(L2toL1DLBPortIndex).resp.ready := true.B 1221 io.l2_pmp_resp := pmp_check(L2toL1DLBPortIndex).resp 1222 1223 // StoreUnit 1224 for (i <- 0 until StdCnt) { 1225 stdExeUnits(i).io.flush <> redirect 1226 stdExeUnits(i).io.in.valid := io.ooo_to_mem.issueStd(i).valid 1227 io.ooo_to_mem.issueStd(i).ready := stdExeUnits(i).io.in.ready 1228 stdExeUnits(i).io.in.bits := io.ooo_to_mem.issueStd(i).bits 1229 } 1230 1231 for (i <- 0 until StaCnt) { 1232 val stu = storeUnits(i) 1233 1234 stu.io.redirect <> redirect 1235 stu.io.csrCtrl <> csrCtrl 1236 stu.io.dcache <> dcache.io.lsu.sta(i) 1237 stu.io.feedback_slow <> io.mem_to_ooo.staIqFeedback(i).feedbackSlow 1238 stu.io.stin <> io.ooo_to_mem.issueSta(i) 1239 stu.io.lsq <> lsq.io.sta.storeAddrIn(i) 1240 stu.io.lsq_replenish <> lsq.io.sta.storeAddrInRe(i) 1241 // dtlb 1242 stu.io.tlb <> dtlb_st.head.requestor(i) 1243 stu.io.pmp <> pmp_check(LduCnt + HyuCnt + 1 + i).resp 1244 1245 // ------------------------- 1246 // Store Triggers 1247 // ------------------------- 1248 stu.io.fromCsrTrigger.tdataVec := tdata 1249 stu.io.fromCsrTrigger.tEnableVec := tEnable 1250 stu.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1251 stu.io.fromCsrTrigger.debugMode := debugMode 1252 1253 // prefetch 1254 stu.io.prefetch_req <> sbuffer.io.store_prefetch(i) 1255 1256 // store unit does not need fast feedback 1257 io.mem_to_ooo.staIqFeedback(i).feedbackFast := DontCare 1258 1259 // Lsq to sta unit 1260 lsq.io.sta.storeMaskIn(i) <> stu.io.st_mask_out 1261 1262 // connect misalignBuffer 1263 storeMisalignBuffer.io.req(i) <> stu.io.misalign_buf 1264 1265 if (i == 0) { 1266 stu.io.misalign_stin <> storeMisalignBuffer.io.splitStoreReq 1267 stu.io.misalign_stout <> storeMisalignBuffer.io.splitStoreResp 1268 } else { 1269 stu.io.misalign_stin.valid := false.B 1270 stu.io.misalign_stin.bits := DontCare 1271 } 1272 1273 // Lsq to std unit's rs 1274 if (i < VstuCnt){ 1275 when (vsSplit(i).io.vstd.get.valid) { 1276 lsq.io.std.storeDataIn(i).valid := true.B 1277 lsq.io.std.storeDataIn(i).bits := vsSplit(i).io.vstd.get.bits 1278 stData(i).ready := false.B 1279 }.otherwise { 1280 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1281 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1282 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1283 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1284 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1285 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1286 stData(i).ready := true.B 1287 } 1288 } else { 1289 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1290 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1291 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1292 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1293 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1294 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1295 stData(i).ready := true.B 1296 } 1297 lsq.io.std.storeDataIn.map(_.bits.debug := 0.U.asTypeOf(new DebugBundle)) 1298 lsq.io.std.storeDataIn.foreach(_.bits.isFromLoadUnit := DontCare) 1299 1300 1301 // store prefetch train 1302 l1PrefetcherOpt.foreach(pf => { 1303 // stream will train on all load sources 1304 pf.io.st_in(i).valid := false.B 1305 pf.io.st_in(i).bits := DontCare 1306 }) 1307 1308 prefetcherOpt.foreach(pf => { 1309 pf.io.st_in(i).valid := Mux(pf_train_on_hit, 1310 stu.io.prefetch_train.valid, 1311 stu.io.prefetch_train.valid && stu.io.prefetch_train.bits.isFirstIssue && ( 1312 stu.io.prefetch_train.bits.miss 1313 ) 1314 ) 1315 pf.io.st_in(i).bits := stu.io.prefetch_train.bits 1316 pf.io.st_in(i).bits.uop.pc := RegEnable(RegEnable(io.ooo_to_mem.storePc(i), stu.io.s1_prefetch_spec), stu.io.s2_prefetch_spec) 1317 }) 1318 1319 // 1. sync issue info to store set LFST 1320 // 2. when store issue, broadcast issued sqPtr to wake up the following insts 1321 // io.stIn(i).valid := io.issue(exuParameters.LduCnt + i).valid 1322 // io.stIn(i).bits := io.issue(exuParameters.LduCnt + i).bits 1323 io.mem_to_ooo.stIn(i).valid := stu.io.issue.valid 1324 io.mem_to_ooo.stIn(i).bits := stu.io.issue.bits 1325 1326 stu.io.stout.ready := true.B 1327 1328 // vector 1329 if (i < VstuCnt) { 1330 stu.io.vecstin <> vsSplit(i).io.out 1331 // vsFlowQueue.io.pipeFeedback(i) <> stu.io.vec_feedback_slow // need connect 1332 } else { 1333 stu.io.vecstin.valid := false.B 1334 stu.io.vecstin.bits := DontCare 1335 stu.io.vecstout.ready := false.B 1336 } 1337 stu.io.vec_isFirstIssue := true.B // TODO 1338 } 1339 1340 val sqOtherStout = WireInit(0.U.asTypeOf(DecoupledIO(new MemExuOutput))) 1341 sqOtherStout.valid := lsq.io.mmioStout.valid || lsq.io.cboZeroStout.valid 1342 sqOtherStout.bits := Mux(lsq.io.cboZeroStout.valid, lsq.io.cboZeroStout.bits, lsq.io.mmioStout.bits) 1343 assert(!(lsq.io.mmioStout.valid && lsq.io.cboZeroStout.valid), "Cannot writeback to mmio and cboZero at the same time.") 1344 1345 // Store writeback by StoreQueue: 1346 // 1. cbo Zero 1347 // 2. mmio 1348 // Currently, the two should not be present at the same time, so simply make cbo zero a higher priority. 1349 val otherStout = WireInit(0.U.asTypeOf(lsq.io.mmioStout)) 1350 NewPipelineConnect( 1351 sqOtherStout, otherStout, otherStout.fire, 1352 false.B, 1353 Option("otherStoutConnect") 1354 ) 1355 otherStout.ready := false.B 1356 when (otherStout.valid && !storeUnits(0).io.stout.valid) { 1357 stOut(0).valid := true.B 1358 stOut(0).bits := otherStout.bits 1359 otherStout.ready := true.B 1360 } 1361 lsq.io.mmioStout.ready := sqOtherStout.ready 1362 lsq.io.cboZeroStout.ready := sqOtherStout.ready 1363 1364 // vec mmio writeback 1365 lsq.io.vecmmioStout.ready := false.B 1366 1367 // miss align buffer will overwrite stOut(0) 1368 val storeMisalignCanWriteBack = !otherStout.valid && !storeUnits(0).io.stout.valid && !storeUnits(0).io.vecstout.valid 1369 storeMisalignBuffer.io.writeBack.ready := storeMisalignCanWriteBack 1370 storeMisalignBuffer.io.storeOutValid := storeUnits(0).io.stout.valid 1371 storeMisalignBuffer.io.storeVecOutValid := storeUnits(0).io.vecstout.valid 1372 when (storeMisalignBuffer.io.writeBack.valid && storeMisalignCanWriteBack) { 1373 stOut(0).valid := true.B 1374 stOut(0).bits := storeMisalignBuffer.io.writeBack.bits 1375 } 1376 1377 // Uncache 1378 uncache.io.enableOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1379 uncache.io.hartId := io.hartId 1380 lsq.io.uncacheOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1381 1382 // Lsq 1383 io.mem_to_ooo.lsqio.mmio := lsq.io.rob.mmio 1384 io.mem_to_ooo.lsqio.uop := lsq.io.rob.uop 1385 lsq.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1386 lsq.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1387 lsq.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1388 lsq.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1389 lsq.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1390 lsq.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1391 lsq.io.rob.commit := io.ooo_to_mem.lsqio.commit 1392 lsq.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1393 lsq.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1394 1395 // lsq.io.rob <> io.lsqio.rob 1396 lsq.io.enq <> io.ooo_to_mem.enqLsq 1397 lsq.io.brqRedirect <> redirect 1398 1399 // violation rollback 1400 def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 1401 val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 1402 val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 1403 (if (j < i) !xs(j).valid || compareVec(i)(j) 1404 else if (j == i) xs(i).valid 1405 else !xs(j).valid || !compareVec(j)(i)) 1406 )).andR)) 1407 resultOnehot 1408 } 1409 val allRedirect = loadUnits.map(_.io.rollback) ++ hybridUnits.map(_.io.ldu_io.rollback) ++ lsq.io.nack_rollback ++ lsq.io.nuke_rollback 1410 val oldestOneHot = selectOldestRedirect(allRedirect) 1411 val oldestRedirect = WireDefault(Mux1H(oldestOneHot, allRedirect)) 1412 // memory replay would not cause IAF/IPF/IGPF 1413 oldestRedirect.bits.cfiUpdate.backendIAF := false.B 1414 oldestRedirect.bits.cfiUpdate.backendIPF := false.B 1415 oldestRedirect.bits.cfiUpdate.backendIGPF := false.B 1416 io.mem_to_ooo.memoryViolation := oldestRedirect 1417 io.mem_to_ooo.lsqio.lqCanAccept := lsq.io.lqCanAccept 1418 io.mem_to_ooo.lsqio.sqCanAccept := lsq.io.sqCanAccept 1419 1420 // lsq.io.uncache <> uncache.io.lsq 1421 val s_idle :: s_scalar_uncache :: s_vector_uncache :: Nil = Enum(3) 1422 val uncacheState = RegInit(s_idle) 1423 val uncacheReq = Wire(Decoupled(new UncacheWordReq)) 1424 val uncacheIdResp = uncache.io.lsq.idResp 1425 val uncacheResp = Wire(Decoupled(new UncacheWordResp)) 1426 1427 uncacheReq.bits := DontCare 1428 uncacheReq.valid := false.B 1429 uncacheReq.ready := false.B 1430 uncacheResp.bits := DontCare 1431 uncacheResp.valid := false.B 1432 uncacheResp.ready := false.B 1433 lsq.io.uncache.req.ready := false.B 1434 lsq.io.uncache.idResp.valid := false.B 1435 lsq.io.uncache.idResp.bits := DontCare 1436 lsq.io.uncache.resp.valid := false.B 1437 lsq.io.uncache.resp.bits := DontCare 1438 1439 switch (uncacheState) { 1440 is (s_idle) { 1441 when (uncacheReq.fire) { 1442 when (lsq.io.uncache.req.valid) { 1443 when (!lsq.io.uncache.req.bits.nc || !io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1444 uncacheState := s_scalar_uncache 1445 } 1446 }.otherwise { 1447 // val isStore = vsFlowQueue.io.uncache.req.bits.cmd === MemoryOpConstants.M_XWR 1448 when (!io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1449 uncacheState := s_vector_uncache 1450 } 1451 } 1452 } 1453 } 1454 1455 is (s_scalar_uncache) { 1456 when (uncacheResp.fire) { 1457 uncacheState := s_idle 1458 } 1459 } 1460 1461 is (s_vector_uncache) { 1462 when (uncacheResp.fire) { 1463 uncacheState := s_idle 1464 } 1465 } 1466 } 1467 1468 when (lsq.io.uncache.req.valid) { 1469 uncacheReq <> lsq.io.uncache.req 1470 } 1471 when (io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1472 lsq.io.uncache.resp <> uncacheResp 1473 lsq.io.uncache.idResp <> uncacheIdResp 1474 }.otherwise { 1475 when (uncacheState === s_scalar_uncache) { 1476 lsq.io.uncache.resp <> uncacheResp 1477 lsq.io.uncache.idResp <> uncacheIdResp 1478 } 1479 } 1480 // delay dcache refill for 1 cycle for better timing 1481 AddPipelineReg(uncacheReq, uncache.io.lsq.req, false.B) 1482 AddPipelineReg(uncache.io.lsq.resp, uncacheResp, false.B) 1483 1484 //lsq.io.refill := delayedDcacheRefill 1485 lsq.io.release := dcache.io.lsu.release 1486 lsq.io.lqCancelCnt <> io.mem_to_ooo.lqCancelCnt 1487 lsq.io.sqCancelCnt <> io.mem_to_ooo.sqCancelCnt 1488 lsq.io.lqDeq <> io.mem_to_ooo.lqDeq 1489 lsq.io.sqDeq <> io.mem_to_ooo.sqDeq 1490 // Todo: assign these 1491 io.mem_to_ooo.sqDeqPtr := lsq.io.sqDeqPtr 1492 io.mem_to_ooo.lqDeqPtr := lsq.io.lqDeqPtr 1493 lsq.io.tl_d_channel <> dcache.io.lsu.tl_d_channel 1494 1495 // LSQ to store buffer 1496 lsq.io.sbuffer <> sbuffer.io.in 1497 sbuffer.io.in(0).valid := lsq.io.sbuffer(0).valid || vSegmentUnit.io.sbuffer.valid 1498 sbuffer.io.in(0).bits := Mux1H(Seq( 1499 vSegmentUnit.io.sbuffer.valid -> vSegmentUnit.io.sbuffer.bits, 1500 lsq.io.sbuffer(0).valid -> lsq.io.sbuffer(0).bits 1501 )) 1502 vSegmentUnit.io.sbuffer.ready := sbuffer.io.in(0).ready 1503 lsq.io.sqEmpty <> sbuffer.io.sqempty 1504 dcache.io.force_write := lsq.io.force_write 1505 1506 // Initialize when unenabled difftest. 1507 sbuffer.io.vecDifftestInfo := DontCare 1508 lsq.io.sbufferVecDifftestInfo := DontCare 1509 vSegmentUnit.io.vecDifftestInfo := DontCare 1510 if (env.EnableDifftest) { 1511 sbuffer.io.vecDifftestInfo .zipWithIndex.map{ case (sbufferPort, index) => 1512 if (index == 0) { 1513 val vSegmentDifftestValid = vSegmentUnit.io.vecDifftestInfo.valid 1514 sbufferPort.valid := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.valid, lsq.io.sbufferVecDifftestInfo(0).valid) 1515 sbufferPort.bits := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.bits, lsq.io.sbufferVecDifftestInfo(0).bits) 1516 1517 vSegmentUnit.io.vecDifftestInfo.ready := sbufferPort.ready 1518 lsq.io.sbufferVecDifftestInfo(0).ready := sbufferPort.ready 1519 } else { 1520 sbufferPort <> lsq.io.sbufferVecDifftestInfo(index) 1521 } 1522 } 1523 } 1524 1525 // lsq.io.vecStoreRetire <> vsFlowQueue.io.sqRelease 1526 // lsq.io.vecWriteback.valid := vlWrapper.io.uopWriteback.fire && 1527 // vlWrapper.io.uopWriteback.bits.uop.vpu.lastUop 1528 // lsq.io.vecWriteback.bits := vlWrapper.io.uopWriteback.bits 1529 1530 // vector 1531 val vLoadCanAccept = (0 until VlduCnt).map(i => 1532 vlSplit(i).io.in.ready && VlduType.isVecLd(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1533 ) 1534 val vStoreCanAccept = (0 until VstuCnt).map(i => 1535 vsSplit(i).io.in.ready && VstuType.isVecSt(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1536 ) 1537 val isSegment = io.ooo_to_mem.issueVldu.head.valid && isVsegls(io.ooo_to_mem.issueVldu.head.bits.uop.fuType) 1538 val isFixVlUop = io.ooo_to_mem.issueVldu.map{x => 1539 x.bits.uop.vpu.isVleff && x.bits.uop.vpu.lastUop && x.valid 1540 } 1541 1542 // init port 1543 /** 1544 * TODO: splited vsMergebuffer maybe remove, if one RS can accept two feedback, or don't need RS replay uop 1545 * for now: 1546 * RS0 -> VsSplit0 -> stu0 -> vsMergebuffer0 -> feedback -> RS0 1547 * RS1 -> VsSplit1 -> stu1 -> vsMergebuffer1 -> feedback -> RS1 1548 * 1549 * vector load don't need feedback 1550 * 1551 * RS0 -> VlSplit0 -> ldu0 -> | 1552 * RS1 -> VlSplit1 -> ldu1 -> | -> vlMergebuffer 1553 * replayIO -> ldu3 -> | 1554 * */ 1555 (0 until VstuCnt).foreach{i => 1556 vsMergeBuffer(i).io.fromPipeline := DontCare 1557 vsMergeBuffer(i).io.fromSplit := DontCare 1558 1559 vsMergeBuffer(i).io.fromMisalignBuffer.get.flush := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).flush 1560 vsMergeBuffer(i).io.fromMisalignBuffer.get.mbIndex := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).mbIndex 1561 } 1562 1563 (0 until VstuCnt).foreach{i => 1564 vsSplit(i).io.redirect <> redirect 1565 vsSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1566 vsSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1567 vStoreCanAccept(i) && !isSegment 1568 vsSplit(i).io.toMergeBuffer <> vsMergeBuffer(i).io.fromSplit.head 1569 NewPipelineConnect( 1570 vsSplit(i).io.out, storeUnits(i).io.vecstin, storeUnits(i).io.vecstin.fire, 1571 Mux(vsSplit(i).io.out.fire, vsSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), storeUnits(i).io.vecstin.bits.uop.robIdx.needFlush(io.redirect)), 1572 Option("VsSplitConnectStu") 1573 ) 1574 vsSplit(i).io.vstd.get := DontCare // Todo: Discuss how to pass vector store data 1575 1576 vsSplit(i).io.vstdMisalign.get.storeMisalignBufferEmpty := !storeMisalignBuffer.io.full 1577 vsSplit(i).io.vstdMisalign.get.storePipeEmpty := !storeUnits(i).io.s0_s1_valid 1578 1579 } 1580 (0 until VlduCnt).foreach{i => 1581 vlSplit(i).io.redirect <> redirect 1582 vlSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1583 vlSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1584 vLoadCanAccept(i) && !isSegment && !isFixVlUop(i) 1585 vlSplit(i).io.toMergeBuffer <> vlMergeBuffer.io.fromSplit(i) 1586 vlSplit(i).io.threshold.get.valid := vlMergeBuffer.io.toSplit.get.threshold 1587 vlSplit(i).io.threshold.get.bits := lsq.io.lqDeqPtr 1588 NewPipelineConnect( 1589 vlSplit(i).io.out, loadUnits(i).io.vecldin, loadUnits(i).io.vecldin.fire, 1590 Mux(vlSplit(i).io.out.fire, vlSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), loadUnits(i).io.vecldin.bits.uop.robIdx.needFlush(io.redirect)), 1591 Option("VlSplitConnectLdu") 1592 ) 1593 1594 //Subsequent instrction will be blocked 1595 vfofBuffer.io.in(i).valid := io.ooo_to_mem.issueVldu(i).valid 1596 vfofBuffer.io.in(i).bits := io.ooo_to_mem.issueVldu(i).bits 1597 } 1598 (0 until LduCnt).foreach{i=> 1599 loadUnits(i).io.vecldout.ready := vlMergeBuffer.io.fromPipeline(i).ready 1600 loadMisalignBuffer.io.vecWriteBack.ready := true.B 1601 1602 if (i == MisalignWBPort) { 1603 when(loadUnits(i).io.vecldout.valid) { 1604 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1605 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1606 } .otherwise { 1607 vlMergeBuffer.io.fromPipeline(i).valid := loadMisalignBuffer.io.vecWriteBack.valid 1608 vlMergeBuffer.io.fromPipeline(i).bits := loadMisalignBuffer.io.vecWriteBack.bits 1609 } 1610 } else { 1611 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1612 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1613 } 1614 } 1615 1616 (0 until StaCnt).foreach{i=> 1617 if(i < VstuCnt){ 1618 storeUnits(i).io.vecstout.ready := true.B 1619 storeMisalignBuffer.io.vecWriteBack(i).ready := vsMergeBuffer(i).io.fromPipeline.head.ready 1620 1621 when(storeUnits(i).io.vecstout.valid) { 1622 vsMergeBuffer(i).io.fromPipeline.head.valid := storeUnits(i).io.vecstout.valid 1623 vsMergeBuffer(i).io.fromPipeline.head.bits := storeUnits(i).io.vecstout.bits 1624 } .otherwise { 1625 vsMergeBuffer(i).io.fromPipeline.head.valid := storeMisalignBuffer.io.vecWriteBack(i).valid 1626 vsMergeBuffer(i).io.fromPipeline.head.bits := storeMisalignBuffer.io.vecWriteBack(i).bits 1627 } 1628 } 1629 } 1630 1631 (0 until VlduCnt).foreach{i=> 1632 io.ooo_to_mem.issueVldu(i).ready := vLoadCanAccept(i) || vStoreCanAccept(i) 1633 } 1634 1635 vlMergeBuffer.io.redirect <> redirect 1636 vsMergeBuffer.map(_.io.redirect <> redirect) 1637 (0 until VlduCnt).foreach{i=> 1638 vlMergeBuffer.io.toLsq(i) <> lsq.io.ldvecFeedback(i) 1639 } 1640 (0 until VstuCnt).foreach{i=> 1641 vsMergeBuffer(i).io.toLsq.head <> lsq.io.stvecFeedback(i) 1642 } 1643 1644 (0 until VlduCnt).foreach{i=> 1645 // send to RS 1646 vlMergeBuffer.io.feedback(i) <> io.mem_to_ooo.vlduIqFeedback(i).feedbackSlow 1647 io.mem_to_ooo.vlduIqFeedback(i).feedbackFast := DontCare 1648 } 1649 (0 until VstuCnt).foreach{i => 1650 // send to RS 1651 if (i == 0){ 1652 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.valid := vsMergeBuffer(i).io.feedback.head.valid || vSegmentUnit.io.feedback.valid 1653 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.bits := Mux1H(Seq( 1654 vSegmentUnit.io.feedback.valid -> vSegmentUnit.io.feedback.bits, 1655 vsMergeBuffer(i).io.feedback.head.valid -> vsMergeBuffer(i).io.feedback.head.bits 1656 )) 1657 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1658 } else { 1659 vsMergeBuffer(i).io.feedback.head <> io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow 1660 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1661 } 1662 } 1663 1664 (0 until VlduCnt).foreach{i=> 1665 if (i == 0){ // for segmentUnit, segmentUnit use port0 writeback 1666 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vSegmentUnit.io.uopwriteback.valid 1667 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1668 vSegmentUnit.io.uopwriteback.valid -> vSegmentUnit.io.uopwriteback.bits, 1669 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1670 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1671 )) 1672 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vSegmentUnit.io.uopwriteback.valid 1673 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vSegmentUnit.io.uopwriteback.valid 1674 vSegmentUnit.io.uopwriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1675 } else if (i == 1) { 1676 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vfofBuffer.io.uopWriteback.valid 1677 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1678 vfofBuffer.io.uopWriteback.valid -> vfofBuffer.io.uopWriteback.bits, 1679 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1680 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1681 )) 1682 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vfofBuffer.io.uopWriteback.valid 1683 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vfofBuffer.io.uopWriteback.valid 1684 vfofBuffer.io.uopWriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1685 } else { 1686 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid 1687 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1688 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1689 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1690 )) 1691 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready 1692 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid 1693 } 1694 1695 vfofBuffer.io.mergeUopWriteback(i).valid := vlMergeBuffer.io.uopWriteback(i).valid 1696 vfofBuffer.io.mergeUopWriteback(i).bits := vlMergeBuffer.io.uopWriteback(i).bits 1697 } 1698 1699 1700 vfofBuffer.io.redirect <> redirect 1701 1702 // Sbuffer 1703 sbuffer.io.csrCtrl <> csrCtrl 1704 sbuffer.io.dcache <> dcache.io.lsu.store 1705 sbuffer.io.memSetPattenDetected := dcache.io.memSetPattenDetected 1706 sbuffer.io.force_write <> lsq.io.force_write 1707 // flush sbuffer 1708 val cmoFlush = lsq.io.flushSbuffer.valid 1709 val fenceFlush = io.ooo_to_mem.flushSb 1710 val atomicsFlush = atomicsUnit.io.flush_sbuffer.valid || vSegmentUnit.io.flush_sbuffer.valid 1711 val stIsEmpty = sbuffer.io.flush.empty && uncache.io.flush.empty 1712 io.mem_to_ooo.sbIsEmpty := RegNext(stIsEmpty) 1713 1714 // if both of them tries to flush sbuffer at the same time 1715 // something must have gone wrong 1716 assert(!(fenceFlush && atomicsFlush && cmoFlush)) 1717 sbuffer.io.flush.valid := RegNext(fenceFlush || atomicsFlush || cmoFlush) 1718 uncache.io.flush.valid := sbuffer.io.flush.valid 1719 1720 // AtomicsUnit: AtomicsUnit will override other control signials, 1721 // as atomics insts (LR/SC/AMO) will block the pipeline 1722 val s_normal +: s_atomics = Enum(StaCnt + HyuCnt + 1) 1723 val state = RegInit(s_normal) 1724 1725 val st_atomics = Seq.tabulate(StaCnt)(i => 1726 io.ooo_to_mem.issueSta(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueSta(i).bits.uop.fuType)) 1727 ) ++ Seq.tabulate(HyuCnt)(i => 1728 io.ooo_to_mem.issueHya(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueHya(i).bits.uop.fuType)) 1729 ) 1730 1731 for (i <- 0 until StaCnt) when(st_atomics(i)) { 1732 io.ooo_to_mem.issueSta(i).ready := atomicsUnit.io.in.ready 1733 storeUnits(i).io.stin.valid := false.B 1734 1735 state := s_atomics(i) 1736 } 1737 for (i <- 0 until HyuCnt) when(st_atomics(StaCnt + i)) { 1738 io.ooo_to_mem.issueHya(i).ready := atomicsUnit.io.in.ready 1739 hybridUnits(i).io.lsin.valid := false.B 1740 1741 state := s_atomics(StaCnt + i) 1742 assert(!st_atomics.zipWithIndex.filterNot(_._2 == StaCnt + i).unzip._1.reduce(_ || _)) 1743 } 1744 when (atomicsUnit.io.out.valid) { 1745 state := s_normal 1746 } 1747 1748 atomicsUnit.io.in.valid := st_atomics.reduce(_ || _) 1749 atomicsUnit.io.in.bits := Mux1H(Seq.tabulate(StaCnt)(i => 1750 st_atomics(i) -> io.ooo_to_mem.issueSta(i).bits) ++ 1751 Seq.tabulate(HyuCnt)(i => st_atomics(StaCnt+i) -> io.ooo_to_mem.issueHya(i).bits)) 1752 atomicsUnit.io.storeDataIn.zipWithIndex.foreach { case (stdin, i) => 1753 stdin.valid := st_data_atomics(i) 1754 stdin.bits := stData(i).bits 1755 } 1756 atomicsUnit.io.redirect <> redirect 1757 1758 // TODO: complete amo's pmp support 1759 val amoTlb = dtlb_ld(0).requestor(0) 1760 atomicsUnit.io.dtlb.resp.valid := false.B 1761 atomicsUnit.io.dtlb.resp.bits := DontCare 1762 atomicsUnit.io.dtlb.req.ready := amoTlb.req.ready 1763 atomicsUnit.io.pmpResp := pmp_check(0).resp 1764 1765 atomicsUnit.io.dcache <> dcache.io.lsu.atomics 1766 atomicsUnit.io.flush_sbuffer.empty := stIsEmpty 1767 1768 atomicsUnit.io.csrCtrl := csrCtrl 1769 1770 // for atomicsUnit, it uses loadUnit(0)'s TLB port 1771 1772 when (state =/= s_normal) { 1773 // use store wb port instead of load 1774 loadUnits(0).io.ldout.ready := false.B 1775 // use load_0's TLB 1776 atomicsUnit.io.dtlb <> amoTlb 1777 1778 // hw prefetch should be disabled while executing atomic insts 1779 loadUnits.map(i => i.io.prefetch_req.valid := false.B) 1780 1781 // make sure there's no in-flight uops in load unit 1782 assert(!loadUnits(0).io.ldout.valid) 1783 } 1784 1785 lsq.io.flushSbuffer.empty := sbuffer.io.sbempty 1786 1787 for (i <- 0 until StaCnt) { 1788 when (state === s_atomics(i)) { 1789 io.mem_to_ooo.staIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1790 assert(!storeUnits(i).io.feedback_slow.valid) 1791 } 1792 } 1793 for (i <- 0 until HyuCnt) { 1794 when (state === s_atomics(StaCnt + i)) { 1795 io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1796 assert(!hybridUnits(i).io.feedback_slow.valid) 1797 } 1798 } 1799 1800 lsq.io.exceptionAddr.isStore := io.ooo_to_mem.isStoreException 1801 // Exception address is used several cycles after flush. 1802 // We delay it by 10 cycles to ensure its flush safety. 1803 val atomicsException = RegInit(false.B) 1804 when (DelayN(redirect.valid, 10) && atomicsException) { 1805 atomicsException := false.B 1806 }.elsewhen (atomicsUnit.io.exceptionInfo.valid) { 1807 atomicsException := true.B 1808 } 1809 1810 val misalignBufExceptionOverwrite = loadMisalignBuffer.io.overwriteExpBuf.valid || storeMisalignBuffer.io.overwriteExpBuf.valid 1811 val misalignBufExceptionVaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1812 loadMisalignBuffer.io.overwriteExpBuf.vaddr, 1813 storeMisalignBuffer.io.overwriteExpBuf.vaddr 1814 ) 1815 val misalignBufExceptionIsHyper = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1816 loadMisalignBuffer.io.overwriteExpBuf.isHyper, 1817 storeMisalignBuffer.io.overwriteExpBuf.isHyper 1818 ) 1819 val misalignBufExceptionGpaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1820 loadMisalignBuffer.io.overwriteExpBuf.gpaddr, 1821 storeMisalignBuffer.io.overwriteExpBuf.gpaddr 1822 ) 1823 val misalignBufExceptionIsForVSnonLeafPTE = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1824 loadMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE, 1825 storeMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE 1826 ) 1827 1828 val vSegmentException = RegInit(false.B) 1829 when (DelayN(redirect.valid, 10) && vSegmentException) { 1830 vSegmentException := false.B 1831 }.elsewhen (vSegmentUnit.io.exceptionInfo.valid) { 1832 vSegmentException := true.B 1833 } 1834 val atomicsExceptionAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.vaddr, atomicsUnit.io.exceptionInfo.valid) 1835 val vSegmentExceptionVstart = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vstart, vSegmentUnit.io.exceptionInfo.valid) 1836 val vSegmentExceptionVl = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vl, vSegmentUnit.io.exceptionInfo.valid) 1837 val vSegmentExceptionAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vaddr, vSegmentUnit.io.exceptionInfo.valid) 1838 val atomicsExceptionGPAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.gpaddr, atomicsUnit.io.exceptionInfo.valid) 1839 val vSegmentExceptionGPAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.gpaddr, vSegmentUnit.io.exceptionInfo.valid) 1840 val atomicsExceptionIsForVSnonLeafPTE = RegEnable(atomicsUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, atomicsUnit.io.exceptionInfo.valid) 1841 val vSegmentExceptionIsForVSnonLeafPTE = RegEnable(vSegmentUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, vSegmentUnit.io.exceptionInfo.valid) 1842 1843 val exceptionVaddr = Mux( 1844 atomicsException, 1845 atomicsExceptionAddress, 1846 Mux(misalignBufExceptionOverwrite, 1847 misalignBufExceptionVaddr, 1848 Mux(vSegmentException, 1849 vSegmentExceptionAddress, 1850 lsq.io.exceptionAddr.vaddr 1851 ) 1852 ) 1853 ) 1854 // whether vaddr need ext or is hyper inst: 1855 // VaNeedExt: atomicsException -> false; misalignBufExceptionOverwrite -> true; vSegmentException -> false 1856 // IsHyper: atomicsException -> false; vSegmentException -> false 1857 val exceptionVaNeedExt = !atomicsException && 1858 (misalignBufExceptionOverwrite || 1859 (!vSegmentException && lsq.io.exceptionAddr.vaNeedExt)) 1860 val exceptionIsHyper = !atomicsException && 1861 (misalignBufExceptionOverwrite && misalignBufExceptionIsHyper || 1862 (!vSegmentException && lsq.io.exceptionAddr.isHyper && !misalignBufExceptionOverwrite)) 1863 1864 def GenExceptionVa( 1865 mode: UInt, isVirt: Bool, vaNeedExt: Bool, 1866 satp: TlbSatpBundle, vsatp: TlbSatpBundle, hgatp: TlbHgatpBundle, 1867 vaddr: UInt 1868 ) = { 1869 require(VAddrBits >= 50) 1870 1871 val satpNone = satp.mode === 0.U 1872 val satpSv39 = satp.mode === 8.U 1873 val satpSv48 = satp.mode === 9.U 1874 1875 val vsatpNone = vsatp.mode === 0.U 1876 val vsatpSv39 = vsatp.mode === 8.U 1877 val vsatpSv48 = vsatp.mode === 9.U 1878 1879 val hgatpNone = hgatp.mode === 0.U 1880 val hgatpSv39x4 = hgatp.mode === 8.U 1881 val hgatpSv48x4 = hgatp.mode === 9.U 1882 1883 // For !isVirt, mode check is necessary, as we don't want virtual memory in M-mode. 1884 // For isVirt, mode check is unnecessary, as virt won't be 1 in M-mode. 1885 // Also, isVirt includes Hyper Insts, which don't care mode either. 1886 1887 val useBareAddr = 1888 (isVirt && vsatpNone && hgatpNone) || 1889 (!isVirt && (mode === CSRConst.ModeM)) || 1890 (!isVirt && (mode =/= CSRConst.ModeM) && satpNone) 1891 val useSv39Addr = 1892 (isVirt && vsatpSv39) || 1893 (!isVirt && (mode =/= CSRConst.ModeM) && satpSv39) 1894 val useSv48Addr = 1895 (isVirt && vsatpSv48) || 1896 (!isVirt && (mode =/= CSRConst.ModeM) && satpSv48) 1897 val useSv39x4Addr = isVirt && vsatpNone && hgatpSv39x4 1898 val useSv48x4Addr = isVirt && vsatpNone && hgatpSv48x4 1899 1900 val bareAddr = ZeroExt(vaddr(PAddrBits - 1, 0), XLEN) 1901 val sv39Addr = SignExt(vaddr.take(39), XLEN) 1902 val sv39x4Addr = ZeroExt(vaddr.take(39 + 2), XLEN) 1903 val sv48Addr = SignExt(vaddr.take(48), XLEN) 1904 val sv48x4Addr = ZeroExt(vaddr.take(48 + 2), XLEN) 1905 1906 val ExceptionVa = Wire(UInt(XLEN.W)) 1907 when (vaNeedExt) { 1908 ExceptionVa := Mux1H(Seq( 1909 (useBareAddr) -> bareAddr, 1910 (useSv39Addr) -> sv39Addr, 1911 (useSv48Addr) -> sv48Addr, 1912 (useSv39x4Addr) -> sv39x4Addr, 1913 (useSv48x4Addr) -> sv48x4Addr, 1914 )) 1915 } .otherwise { 1916 ExceptionVa := vaddr 1917 } 1918 1919 ExceptionVa 1920 } 1921 1922 io.mem_to_ooo.lsqio.vaddr := RegNext( 1923 GenExceptionVa(tlbcsr.priv.dmode, tlbcsr.priv.virt || exceptionIsHyper, exceptionVaNeedExt, 1924 tlbcsr.satp, tlbcsr.vsatp, tlbcsr.hgatp, exceptionVaddr) 1925 ) 1926 1927 // vsegment instruction is executed atomic, which mean atomicsException and vSegmentException should not raise at the same time. 1928 XSError(atomicsException && vSegmentException, "atomicsException and vSegmentException raise at the same time!") 1929 io.mem_to_ooo.lsqio.vstart := RegNext(Mux(vSegmentException, 1930 vSegmentExceptionVstart, 1931 lsq.io.exceptionAddr.vstart) 1932 ) 1933 io.mem_to_ooo.lsqio.vl := RegNext(Mux(vSegmentException, 1934 vSegmentExceptionVl, 1935 lsq.io.exceptionAddr.vl) 1936 ) 1937 1938 XSError(atomicsException && atomicsUnit.io.in.valid, "new instruction before exception triggers\n") 1939 io.mem_to_ooo.lsqio.gpaddr := RegNext(Mux( 1940 atomicsException, 1941 atomicsExceptionGPAddress, 1942 Mux(misalignBufExceptionOverwrite, 1943 misalignBufExceptionGpaddr, 1944 Mux(vSegmentException, 1945 vSegmentExceptionGPAddress, 1946 lsq.io.exceptionAddr.gpaddr 1947 ) 1948 ) 1949 )) 1950 io.mem_to_ooo.lsqio.isForVSnonLeafPTE := RegNext(Mux( 1951 atomicsException, 1952 atomicsExceptionIsForVSnonLeafPTE, 1953 Mux(misalignBufExceptionOverwrite, 1954 misalignBufExceptionIsForVSnonLeafPTE, 1955 Mux(vSegmentException, 1956 vSegmentExceptionIsForVSnonLeafPTE, 1957 lsq.io.exceptionAddr.isForVSnonLeafPTE 1958 ) 1959 ) 1960 )) 1961 io.mem_to_ooo.topToBackendBypass match { case x => 1962 x.hartId := io.hartId 1963 x.l2FlushDone := RegNext(io.l2_flush_done) 1964 x.externalInterrupt.msip := outer.clint_int_sink.in.head._1(0) 1965 x.externalInterrupt.mtip := outer.clint_int_sink.in.head._1(1) 1966 x.externalInterrupt.meip := outer.plic_int_sink.in.head._1(0) 1967 x.externalInterrupt.seip := outer.plic_int_sink.in.last._1(0) 1968 x.externalInterrupt.debug := outer.debug_int_sink.in.head._1(0) 1969 x.externalInterrupt.nmi.nmi_31 := outer.nmi_int_sink.in.head._1(0) | outer.beu_local_int_sink.in.head._1(0) 1970 x.externalInterrupt.nmi.nmi_43 := outer.nmi_int_sink.in.head._1(1) 1971 x.msiInfo := DelayNWithValid(io.fromTopToBackend.msiInfo, 1) 1972 x.clintTime := DelayNWithValid(io.fromTopToBackend.clintTime, 1) 1973 } 1974 1975 io.memInfo.sqFull := RegNext(lsq.io.sqFull) 1976 io.memInfo.lqFull := RegNext(lsq.io.lqFull) 1977 io.memInfo.dcacheMSHRFull := RegNext(dcache.io.mshrFull) 1978 1979 io.inner_hartId := io.hartId 1980 io.inner_reset_vector := RegNext(io.outer_reset_vector) 1981 io.outer_cpu_halt := io.ooo_to_mem.backendToTopBypass.cpuHalted 1982 io.outer_l2_flush_en := io.ooo_to_mem.csrCtrl.flush_l2_enable 1983 io.outer_power_down_en := io.ooo_to_mem.csrCtrl.power_down_enable 1984 io.outer_cpu_critical_error := io.ooo_to_mem.backendToTopBypass.cpuCriticalError 1985 io.outer_msi_ack := io.ooo_to_mem.backendToTopBypass.msiAck 1986 io.outer_beu_errors_icache := RegNext(io.inner_beu_errors_icache) 1987 io.inner_hc_perfEvents <> RegNext(io.outer_hc_perfEvents) 1988 1989 // vector segmentUnit 1990 vSegmentUnit.io.in.bits <> io.ooo_to_mem.issueVldu.head.bits 1991 vSegmentUnit.io.in.valid := isSegment && io.ooo_to_mem.issueVldu.head.valid// is segment instruction 1992 vSegmentUnit.io.dtlb.resp.bits <> dtlb_reqs.take(LduCnt).head.resp.bits 1993 vSegmentUnit.io.dtlb.resp.valid <> dtlb_reqs.take(LduCnt).head.resp.valid 1994 vSegmentUnit.io.pmpResp <> pmp_check.head.resp 1995 vSegmentUnit.io.flush_sbuffer.empty := stIsEmpty 1996 vSegmentUnit.io.redirect <> redirect 1997 vSegmentUnit.io.rdcache.resp.bits := dcache.io.lsu.load(0).resp.bits 1998 vSegmentUnit.io.rdcache.resp.valid := dcache.io.lsu.load(0).resp.valid 1999 vSegmentUnit.io.rdcache.s2_bank_conflict := dcache.io.lsu.load(0).s2_bank_conflict 2000 // ------------------------- 2001 // Vector Segment Triggers 2002 // ------------------------- 2003 vSegmentUnit.io.fromCsrTrigger.tdataVec := tdata 2004 vSegmentUnit.io.fromCsrTrigger.tEnableVec := tEnable 2005 vSegmentUnit.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 2006 vSegmentUnit.io.fromCsrTrigger.debugMode := debugMode 2007 2008 // reset tree of MemBlock 2009 if (p(DebugOptionsKey).ResetGen) { 2010 val leftResetTree = ResetGenNode( 2011 Seq( 2012 ModuleNode(ptw), 2013 ModuleNode(ptw_to_l2_buffer), 2014 ModuleNode(lsq), 2015 ModuleNode(dtlb_st_tlb_st), 2016 ModuleNode(dtlb_prefetch_tlb_prefetch), 2017 ModuleNode(pmp) 2018 ) 2019 ++ pmp_checkers.map(ModuleNode(_)) 2020 ++ (if (prefetcherOpt.isDefined) Seq(ModuleNode(prefetcherOpt.get)) else Nil) 2021 ++ (if (l1PrefetcherOpt.isDefined) Seq(ModuleNode(l1PrefetcherOpt.get)) else Nil) 2022 ) 2023 val rightResetTree = ResetGenNode( 2024 Seq( 2025 ModuleNode(sbuffer), 2026 ModuleNode(dtlb_ld_tlb_ld), 2027 ModuleNode(dcache), 2028 ModuleNode(l1d_to_l2_buffer), 2029 CellNode(io.reset_backend) 2030 ) 2031 ) 2032 ResetGen(leftResetTree, reset, sim = false, io.sramTestBypass.fromL2Top.mbistReset) 2033 ResetGen(rightResetTree, reset, sim = false, io.sramTestBypass.fromL2Top.mbistReset) 2034 } else { 2035 io.reset_backend := DontCare 2036 } 2037 io.resetInFrontendBypass.toL2Top := io.resetInFrontendBypass.fromFrontend 2038 // trace interface 2039 val traceToL2Top = io.traceCoreInterfaceBypass.toL2Top 2040 val traceFromBackend = io.traceCoreInterfaceBypass.fromBackend 2041 traceFromBackend.fromEncoder := RegNext(traceToL2Top.fromEncoder) 2042 traceToL2Top.toEncoder.trap := RegEnable( 2043 traceFromBackend.toEncoder.trap, 2044 traceFromBackend.toEncoder.groups(0).valid && Itype.isTrap(traceFromBackend.toEncoder.groups(0).bits.itype) 2045 ) 2046 traceToL2Top.toEncoder.priv := RegEnable( 2047 traceFromBackend.toEncoder.priv, 2048 traceFromBackend.toEncoder.groups(0).valid 2049 ) 2050 (0 until TraceGroupNum).foreach { i => 2051 traceToL2Top.toEncoder.groups(i).valid := RegNext(traceFromBackend.toEncoder.groups(i).valid) 2052 traceToL2Top.toEncoder.groups(i).bits.iretire := RegNext(traceFromBackend.toEncoder.groups(i).bits.iretire) 2053 traceToL2Top.toEncoder.groups(i).bits.itype := RegNext(traceFromBackend.toEncoder.groups(i).bits.itype) 2054 traceToL2Top.toEncoder.groups(i).bits.ilastsize := RegEnable( 2055 traceFromBackend.toEncoder.groups(i).bits.ilastsize, 2056 traceFromBackend.toEncoder.groups(i).valid 2057 ) 2058 traceToL2Top.toEncoder.groups(i).bits.iaddr := RegEnable( 2059 traceFromBackend.toEncoder.groups(i).bits.iaddr, 2060 traceFromBackend.toEncoder.groups(i).valid 2061 ) + (RegEnable( 2062 traceFromBackend.toEncoder.groups(i).bits.ftqOffset.getOrElse(0.U), 2063 traceFromBackend.toEncoder.groups(i).valid 2064 ) << instOffsetBits) 2065 } 2066 2067 2068 io.mem_to_ooo.storeDebugInfo := DontCare 2069 // store event difftest information 2070 if (env.EnableDifftest) { 2071 (0 until EnsbufferWidth).foreach{i => 2072 io.mem_to_ooo.storeDebugInfo(i).robidx := sbuffer.io.vecDifftestInfo(i).bits.robIdx 2073 sbuffer.io.vecDifftestInfo(i).bits.pc := io.mem_to_ooo.storeDebugInfo(i).pc 2074 } 2075 } 2076 2077 // top-down info 2078 dcache.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2079 dtlbRepeater.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2080 lsq.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2081 io.debugTopDown.toCore.robHeadMissInDCache := dcache.io.debugTopDown.robHeadMissInDCache 2082 io.debugTopDown.toCore.robHeadTlbReplay := lsq.io.debugTopDown.robHeadTlbReplay 2083 io.debugTopDown.toCore.robHeadTlbMiss := lsq.io.debugTopDown.robHeadTlbMiss 2084 io.debugTopDown.toCore.robHeadLoadVio := lsq.io.debugTopDown.robHeadLoadVio 2085 io.debugTopDown.toCore.robHeadLoadMSHR := lsq.io.debugTopDown.robHeadLoadMSHR 2086 dcache.io.debugTopDown.robHeadOtherReplay := lsq.io.debugTopDown.robHeadOtherReplay 2087 dcache.io.debugRolling := io.debugRolling 2088 2089 lsq.io.noUopsIssued := io.topDownInfo.toBackend.noUopsIssued 2090 io.topDownInfo.toBackend.lqEmpty := lsq.io.lqEmpty 2091 io.topDownInfo.toBackend.sqEmpty := lsq.io.sqEmpty 2092 io.topDownInfo.toBackend.l1Miss := dcache.io.l1Miss 2093 io.topDownInfo.toBackend.l2TopMiss.l2Miss := RegNext(io.topDownInfo.fromL2Top.l2Miss) 2094 io.topDownInfo.toBackend.l2TopMiss.l3Miss := RegNext(io.topDownInfo.fromL2Top.l3Miss) 2095 2096 val hyLdDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isLoad(x.bits.uop.fuType))) 2097 val hyStDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isStore(x.bits.uop.fuType))) 2098 val ldDeqCount = PopCount(io.ooo_to_mem.issueLda.map(_.valid)) +& hyLdDeqCount 2099 val stDeqCount = PopCount(io.ooo_to_mem.issueSta.take(StaCnt).map(_.valid)) +& hyStDeqCount 2100 val iqDeqCount = ldDeqCount +& stDeqCount 2101 XSPerfAccumulate("load_iq_deq_count", ldDeqCount) 2102 XSPerfHistogram("load_iq_deq_count", ldDeqCount, true.B, 0, LdExuCnt + 1) 2103 XSPerfAccumulate("store_iq_deq_count", stDeqCount) 2104 XSPerfHistogram("store_iq_deq_count", stDeqCount, true.B, 0, StAddrCnt + 1) 2105 XSPerfAccumulate("ls_iq_deq_count", iqDeqCount) 2106 2107 val pfevent = Module(new PFEvent) 2108 pfevent.io.distribute_csr := csrCtrl.distribute_csr 2109 val csrevents = pfevent.io.hpmevent.slice(16,24) 2110 2111 val perfFromUnits = (loadUnits ++ Seq(sbuffer, lsq, dcache)).flatMap(_.getPerfEvents) 2112 val perfFromPTW = perfEventsPTW.map(x => ("PTW_" + x._1, x._2)) 2113 val perfBlock = Seq(("ldDeqCount", ldDeqCount), 2114 ("stDeqCount", stDeqCount)) 2115 // let index = 0 be no event 2116 val allPerfEvents = Seq(("noEvent", 0.U)) ++ perfFromUnits ++ perfFromPTW ++ perfBlock 2117 2118 if (printEventCoding) { 2119 for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 2120 println("MemBlock perfEvents Set", name, inc, i) 2121 } 2122 } 2123 2124 val allPerfInc = allPerfEvents.map(_._2.asTypeOf(new PerfEvent)) 2125 val perfEvents = HPerfMonitor(csrevents, allPerfInc).getPerfEvents 2126 generatePerfEvent() 2127 2128 private val mbistPl = MbistPipeline.PlaceMbistPipeline(Int.MaxValue, "MbistPipeMemBlk", hasMbist) 2129 private val mbistIntf = if(hasMbist) { 2130 val params = mbistPl.get.nodeParams 2131 val intf = Some(Module(new MbistInterface( 2132 params = Seq(params), 2133 ids = Seq(mbistPl.get.childrenIds), 2134 name = s"MbistIntfMemBlk", 2135 pipelineNum = 1 2136 ))) 2137 intf.get.toPipeline.head <> mbistPl.get.mbist 2138 mbistPl.get.registerCSV(intf.get.info, "MbistMemBlk") 2139 intf.get.mbist := DontCare 2140 dontTouch(intf.get.mbist) 2141 //TODO: add mbist controller connections here 2142 intf 2143 } else { 2144 None 2145 } 2146 private val sigFromSrams = if (hasSramTest) Some(SramHelper.genBroadCastBundleTop()) else None 2147 private val cg = ClockGate.genTeSrc 2148 dontTouch(cg) 2149 2150 sigFromSrams.foreach({ case sig => sig.mbist := DontCare }) 2151 if (hasMbist) { 2152 sigFromSrams.get.mbist := io.sramTestBypass.fromL2Top.mbist.get 2153 io.sramTestBypass.toFrontend.mbist.get := io.sramTestBypass.fromL2Top.mbist.get 2154 io.sramTestBypass.toFrontend.mbistReset.get := io.sramTestBypass.fromL2Top.mbistReset.get 2155 io.sramTestBypass.toBackend.mbist.get := io.sramTestBypass.fromL2Top.mbist.get 2156 io.sramTestBypass.toBackend.mbistReset.get := io.sramTestBypass.fromL2Top.mbistReset.get 2157 cg.cgen := io.sramTestBypass.fromL2Top.mbist.get.cgen 2158 } else { 2159 cg.cgen := false.B 2160 } 2161 2162 // sram debug 2163 val sramCtl = Option.when(hasSramCtl)(RegNext(io.sramTestBypass.fromL2Top.sramCtl.get)) 2164 sigFromSrams.foreach({ case sig => sig.sramCtl := DontCare }) 2165 sigFromSrams.zip(sramCtl).foreach { 2166 case (sig, ctl) => 2167 sig.sramCtl.RTSEL := ctl(1, 0) // CFG[1 : 0] 2168 sig.sramCtl.WTSEL := ctl(3, 2) // CFG[3 : 2] 2169 sig.sramCtl.MCR := ctl(5, 4) // CFG[5 : 4] 2170 sig.sramCtl.MCW := ctl(7, 6) // CFG[7 : 6] 2171 } 2172 if (hasSramCtl) { 2173 io.sramTestBypass.toFrontend.sramCtl.get := sramCtl.get 2174 } 2175} 2176 2177class MemBlock()(implicit p: Parameters) extends LazyModule 2178 with HasXSParameter { 2179 override def shouldBeInlined: Boolean = false 2180 2181 val inner = LazyModule(new MemBlockInlined()) 2182 2183 lazy val module = new MemBlockImp(this) 2184} 2185 2186class MemBlockImp(wrapper: MemBlock) extends LazyModuleImp(wrapper) { 2187 val io = IO(wrapper.inner.module.io.cloneType) 2188 val io_perf = IO(wrapper.inner.module.io_perf.cloneType) 2189 io <> wrapper.inner.module.io 2190 io_perf <> wrapper.inner.module.io_perf 2191 2192 if (p(DebugOptionsKey).ResetGen) { 2193 ResetGen( 2194 ResetGenNode(Seq(ModuleNode(wrapper.inner.module))), 2195 reset, sim = false, io.sramTestBypass.fromL2Top.mbistReset 2196 ) 2197 } 2198} 2199