xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/IPrefetch.scala (revision 57bb43b5f11c3f1e89ac52f232fe73056b35d9bd)
1/***************************************************************************************
2  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4  *
5  * XiangShan is licensed under Mulan PSL v2.
6  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7  * You may obtain a copy of Mulan PSL v2 at:
8  *          http://license.coscl.org.cn/MulanPSL2
9  *
10  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13  *
14  * See the Mulan PSL v2 for more details.
15  ***************************************************************************************/
16
17package xiangshan.frontend.icache
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import freechips.rocketchip.tilelink._
23import utils._
24import xiangshan.cache.mmu._
25import xiangshan.frontend._
26import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
27import huancun.{PreferCacheKey}
28
29
30abstract class IPrefetchBundle(implicit p: Parameters) extends ICacheBundle
31abstract class IPrefetchModule(implicit p: Parameters) extends ICacheModule
32
33class PIQReq(implicit p: Parameters) extends IPrefetchBundle {
34  val paddr      = UInt(PAddrBits.W)
35}
36
37
38class IPrefetchToMissUnit(implicit  p: Parameters) extends IPrefetchBundle{
39  val enqReq  = DecoupledIO(new PIQReq)
40}
41
42class IPredfetchIO(implicit p: Parameters) extends IPrefetchBundle {
43  val fromFtq         = Flipped(new FtqPrefechBundle)
44  val iTLBInter       = new BlockTlbRequestIO
45  val pmp             =   new ICachePMPBundle
46  val toIMeta         = Decoupled(new ICacheReadBundle)
47  val fromIMeta       = Input(new ICacheMetaRespBundle)
48  val toMissUnit      = new IPrefetchToMissUnit
49  val fromMSHR        = Flipped(Vec(PortNumber,ValidIO(UInt(PAddrBits.W))))
50
51  val prefetchEnable = Input(Bool())
52  val prefetchDisable = Input(Bool())
53}
54
55class IPrefetchPipe(implicit p: Parameters) extends  IPrefetchModule
56{
57  val io = IO(new IPredfetchIO)
58
59  val enableBit = RegInit(false.B)
60  val maxPrefetchCoutner = RegInit(0.U(log2Ceil(nPrefetchEntries + 1).W))
61
62  val reachMaxSize = maxPrefetchCoutner === nPrefetchEntries.U
63
64  when(io.prefetchEnable){
65    enableBit := true.B
66  }.elsewhen((enableBit && io.prefetchDisable) || (enableBit && reachMaxSize)){
67    enableBit := false.B
68  }
69
70  class PrefetchDir(implicit  p: Parameters) extends IPrefetchBundle
71  {
72    val valid = Bool()
73    val paddr = UInt(PAddrBits.W)
74  }
75
76  val prefetch_dir = RegInit(VecInit(Seq.fill(nPrefetchEntries)(0.U.asTypeOf(new PrefetchDir))))
77
78  val fromFtq = io.fromFtq
79  val (toITLB,  fromITLB) = (io.iTLBInter.req, io.iTLBInter.resp)
80  val (toIMeta, fromIMeta) = (io.toIMeta, io.fromIMeta.metaData(0))
81  val (toPMP,  fromPMP)   = (io.pmp.req, io.pmp.resp)
82  val toMissUnit = io.toMissUnit
83
84  val p0_fire, p1_fire, p2_fire, p3_fire =  WireInit(false.B)
85  val p1_discard, p2_discard, p3_discard = WireInit(false.B)
86  val p0_ready, p1_ready, p2_ready, p3_ready = WireInit(false.B)
87
88  /** Prefetch Stage 0: req from Ftq */
89  val p0_valid  =   fromFtq.req.valid
90  val p0_vaddr  =   addrAlign(fromFtq.req.bits.target, blockBytes, PAddrBits)
91  p0_fire   :=   p0_valid && p1_ready && toITLB.fire() && !fromITLB.bits.miss && toIMeta.ready && enableBit
92
93  toIMeta.valid     := p0_valid
94  toIMeta.bits.vSetIdx(0) := get_idx(p0_vaddr)
95
96  toIMeta.bits.vSetIdx(1) := DontCare
97  toIMeta.bits.isDoubleLine := false.B
98
99  toITLB.valid         := p0_valid
100  toITLB.bits.size     := 3.U // TODO: fix the size
101  toITLB.bits.vaddr    := p0_vaddr
102  toITLB.bits.debug.pc := p0_vaddr
103
104  toITLB.bits.cmd                 := TlbCmd.exec
105  toITLB.bits.robIdx              := DontCare
106  toITLB.bits.debug.isFirstIssue  := DontCare
107
108
109  fromITLB.ready := true.B
110
111  fromFtq.req.ready :=  (!enableBit || (enableBit && p3_ready)) && GTimer() > 500.U
112
113  /** Prefetch Stage 1: cache probe filter */
114  val p1_valid =  generatePipeControl(lastFire = p0_fire, thisFire = p1_fire || p1_discard, thisFlush = false.B, lastFlush = false.B)
115
116  val p1_vaddr   =  RegEnable(next = p0_vaddr,    enable=p0_fire)
117
118  //tlb resp
119  val tlb_resp_valid = RegInit(false.B)
120  when(p0_fire) {tlb_resp_valid := true.B}
121  .elsewhen(tlb_resp_valid && (p1_fire || p1_discard)) {tlb_resp_valid := false.B}
122
123  val tlb_resp_paddr = ResultHoldBypass(valid = RegNext(p0_fire), data = fromITLB.bits.paddr)
124  val tlb_resp_pf    = ResultHoldBypass(valid = RegNext(p0_fire), data = fromITLB.bits.excp.pf.instr && tlb_resp_valid)
125  val tlb_resp_af    = ResultHoldBypass(valid = RegNext(p0_fire), data = fromITLB.bits.excp.af.instr && tlb_resp_valid)
126
127  val p1_exception  = VecInit(Seq(tlb_resp_pf, tlb_resp_af))
128  val p1_has_except =  p1_exception.reduce(_ || _)
129
130  val p1_ptag = get_phy_tag(tlb_resp_paddr)
131
132  val p1_meta_ptags       = ResultHoldBypass(data = VecInit(fromIMeta.map(way => way.tag)),valid = RegNext(p0_fire))
133  val p1_meta_cohs        = ResultHoldBypass(data = VecInit(fromIMeta.map(way => way.coh)),valid = RegNext(p0_fire))
134
135  val p1_tag_eq_vec       =  VecInit(p1_meta_ptags.map(_  ===  p1_ptag ))
136  val p1_tag_match_vec    =  VecInit(p1_tag_eq_vec.zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && p1_meta_cohs(w).isValid()})
137  val p1_tag_match        =  ParallelOR(p1_tag_match_vec)
138  val (p1_hit, p1_miss)   =  (p1_valid && p1_tag_match && !p1_has_except, p1_valid && !p1_tag_match && !p1_has_except)
139
140  //overriding the invalid req
141  val p1_req_cancle = (p1_hit || (tlb_resp_valid && p1_exception.reduce(_ || _))) && p1_valid
142  val p1_req_accept   = p1_valid && tlb_resp_valid && p1_miss
143
144  p1_ready    :=   p1_fire || p1_req_cancle || !p1_valid
145  p1_fire     :=   p1_valid && p1_req_accept && p2_ready && enableBit
146  p1_discard  :=   p1_valid && p1_req_cancle
147
148  /** Prefetch Stage 2: filtered req PIQ enqueue */
149  val p2_valid =  generatePipeControl(lastFire = p1_fire, thisFire = p2_fire || p2_discard, thisFlush = false.B, lastFlush = false.B)
150  val p2_pmp_fire = p2_valid
151  val pmpExcpAF = fromPMP.instr
152
153  val p2_paddr     = RegEnable(next = tlb_resp_paddr,  enable = p1_fire)
154  val p2_except_pf = RegEnable(next =tlb_resp_pf, enable = p1_fire)
155  val p2_except_af = DataHoldBypass(pmpExcpAF, p2_pmp_fire) || RegEnable(next = tlb_resp_af, enable = p1_fire)
156  val p2_mmio      = DataHoldBypass(io.pmp.resp.mmio && !p2_except_af && !p2_except_pf, p2_pmp_fire)
157
158  /*when a prefetch req meet with a miss req in MSHR cancle the prefetch req */
159  val p2_check_in_mshr = VecInit(io.fromMSHR.map(mshr => mshr.valid && mshr.bits === addrAlign(p2_paddr, blockBytes, PAddrBits))).reduce(_||_)
160
161  //TODO wait PMP logic
162  val p2_exception  = VecInit(Seq(pmpExcpAF, p2_mmio)).reduce(_||_)
163
164  io.pmp.req.valid      := p2_pmp_fire
165  io.pmp.req.bits.addr  := p2_paddr
166  io.pmp.req.bits.size  := 3.U
167  io.pmp.req.bits.cmd   := TlbCmd.exec
168
169  p2_ready :=   p2_fire || p2_discard || !p2_valid
170  p2_fire  :=   p2_valid && !p2_exception && p3_ready && p2_pmp_fire
171  p2_discard := p2_valid && (p2_exception && p2_pmp_fire)
172
173  /** Prefetch Stage 2: filtered req PIQ enqueue */
174  val p3_valid =  generatePipeControl(lastFire = p2_fire, thisFire = p3_fire || p3_discard, thisFlush = false.B, lastFlush = false.B)
175
176  val p3_paddr = RegEnable(next = p2_paddr,  enable = p2_fire)
177  val p3_check_in_mshr = RegEnable(next = p2_check_in_mshr,  enable = p2_fire)
178
179  val p3_hit_dir = VecInit((0 until nPrefetchEntries).map(i => prefetch_dir(i).valid && prefetch_dir(i).paddr === p3_paddr )).reduce(_||_)
180
181  p3_discard := p3_hit_dir || p3_check_in_mshr
182
183  toMissUnit.enqReq.valid             := p3_valid && enableBit && !p3_discard
184  toMissUnit.enqReq.bits.paddr        := p3_paddr
185
186  when(reachMaxSize){
187    maxPrefetchCoutner := 0.U
188
189    prefetch_dir.foreach(_.valid := false.B)
190  }.elsewhen(toMissUnit.enqReq.fire()){
191    maxPrefetchCoutner := maxPrefetchCoutner + 1.U
192
193    prefetch_dir(maxPrefetchCoutner).valid := true.B
194    prefetch_dir(maxPrefetchCoutner).paddr := p3_paddr
195  }
196
197  p3_ready := toMissUnit.enqReq.ready || !enableBit
198  p3_fire  := toMissUnit.enqReq.fire()
199
200}
201
202class IPrefetchEntry(edge: TLEdgeOut, id: Int)(implicit p: Parameters) extends ICacheMissUnitModule
203{
204  val io = IO(new Bundle {
205    val id = Input(UInt(log2Ceil(PortNumber + nPrefetchEntries).W))
206
207    val req = Flipped(DecoupledIO(new PIQReq))
208
209    //tilelink channel
210    val mem_hint = DecoupledIO(new TLBundleA(edge.bundle))
211    val mem_hint_ack = Flipped(DecoupledIO(new TLBundleD(edge.bundle)))
212
213  })
214
215  /** default value for control signals */
216  io.mem_hint.bits := DontCare
217  io.mem_hint_ack.ready := true.B
218
219
220  val s_idle  :: s_send_hint :: s_wait_hint_ack :: Nil = Enum(3)
221  val state = RegInit(s_idle)
222  /** control logic transformation */
223  //request register
224  val req = Reg(new PIQReq)
225  //initial
226  io.mem_hint.bits := DontCare
227  io.mem_hint_ack.ready := true.B
228
229  io.req.ready := (state === s_idle)
230  io.mem_hint.valid := (state === s_send_hint)
231
232  //state change
233  switch(state) {
234    is(s_idle) {
235      when(io.req.fire()) {
236        state := s_send_hint
237        req := io.req.bits
238      }
239    }
240
241    // memory request
242    is(s_send_hint) {
243      when(io.mem_hint.fire()) {
244        state := s_idle
245      }
246    }
247  }
248
249  /** refill write and meta write */
250  val hint = edge.Hint(
251    fromSource = io.id,
252    toAddress = addrAlign(req.paddr, blockBytes, PAddrBits) + blockBytes.U,
253    lgSize = (log2Up(cacheParams.blockBytes)).U,
254    param = TLHints.PREFETCH_READ
255  )._2
256  io.mem_hint.bits := hint
257  io.mem_hint.bits.user.lift(PreferCacheKey).foreach(_ := true.B)
258
259
260  XSPerfAccumulate("PrefetchEntryReq" + Integer.toString(id, 10), io.req.fire())
261
262}