xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/IPrefetch.scala (revision cf7d6b7a1a781c73aeb87de112de2e7fe5ea3b7c)
17052722fSJay/***************************************************************************************
27052722fSJay  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
37052722fSJay  * Copyright (c) 2020-2021 Peng Cheng Laboratory
47052722fSJay  *
57052722fSJay  * XiangShan is licensed under Mulan PSL v2.
67052722fSJay  * You can use this software according to the terms and conditions of the Mulan PSL v2.
77052722fSJay  * You may obtain a copy of Mulan PSL v2 at:
87052722fSJay  *          http://license.coscl.org.cn/MulanPSL2
97052722fSJay  *
107052722fSJay  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
117052722fSJay  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
127052722fSJay  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
137052722fSJay  *
147052722fSJay  * See the Mulan PSL v2 for more details.
157052722fSJay  ***************************************************************************************/
167052722fSJay
177052722fSJaypackage xiangshan.frontend.icache
187052722fSJay
197052722fSJayimport chisel3._
207052722fSJayimport chisel3.util._
217d45a146SYinan Xuimport difftest._
227052722fSJayimport freechips.rocketchip.tilelink._
23*cf7d6b7aSMuziimport huancun.PreferCacheKey
24*cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters
25*cf7d6b7aSMuziimport utility._
267052722fSJayimport utils._
27*cf7d6b7aSMuziimport xiangshan.SoftIfetchPrefetchBundle
28*cf7d6b7aSMuziimport xiangshan.XSCoreParamsKey
29*cf7d6b7aSMuziimport xiangshan.backend.fu.PMPReqBundle
30*cf7d6b7aSMuziimport xiangshan.backend.fu.PMPRespBundle
317052722fSJayimport xiangshan.cache.mmu._
327052722fSJayimport xiangshan.frontend._
337052722fSJay
347052722fSJayabstract class IPrefetchBundle(implicit p: Parameters) extends ICacheBundle
357052722fSJayabstract class IPrefetchModule(implicit p: Parameters) extends ICacheModule
367052722fSJay
372c9f4a9fSxu_zhclass IPrefetchReq(implicit p: Parameters) extends IPrefetchBundle {
382c9f4a9fSxu_zh  val startAddr:      UInt   = UInt(VAddrBits.W)
392c9f4a9fSxu_zh  val nextlineStart:  UInt   = UInt(VAddrBits.W)
402c9f4a9fSxu_zh  val ftqIdx:         FtqPtr = new FtqPtr
412c9f4a9fSxu_zh  val isSoftPrefetch: Bool   = Bool()
422c9f4a9fSxu_zh  def crossCacheline: Bool   = startAddr(blockOffBits - 1) === 1.U
432c9f4a9fSxu_zh
442c9f4a9fSxu_zh  def fromFtqICacheInfo(info: FtqICacheInfo): IPrefetchReq = {
452c9f4a9fSxu_zh    this.startAddr      := info.startAddr
462c9f4a9fSxu_zh    this.nextlineStart  := info.nextlineStart
472c9f4a9fSxu_zh    this.ftqIdx         := info.ftqIdx
482c9f4a9fSxu_zh    this.isSoftPrefetch := false.B
492c9f4a9fSxu_zh    this
502c9f4a9fSxu_zh  }
512c9f4a9fSxu_zh
522c9f4a9fSxu_zh  def fromSoftPrefetch(req: SoftIfetchPrefetchBundle): IPrefetchReq = {
532c9f4a9fSxu_zh    this.startAddr      := req.vaddr
542c9f4a9fSxu_zh    this.nextlineStart  := req.vaddr + (1 << blockOffBits).U
552c9f4a9fSxu_zh    this.ftqIdx         := DontCare
562c9f4a9fSxu_zh    this.isSoftPrefetch := true.B
572c9f4a9fSxu_zh    this
582c9f4a9fSxu_zh  }
592c9f4a9fSxu_zh}
602c9f4a9fSxu_zh
6188895b11Sxu_zhclass IPrefetchIO(implicit p: Parameters) extends IPrefetchBundle {
62b92f8445Sssszwic  // control
63b92f8445Sssszwic  val csr_pf_enable     = Input(Bool())
64f80535c3Sxu_zh  val csr_parity_enable = Input(Bool())
65b92f8445Sssszwic  val flush             = Input(Bool())
6658c354d0Sssszwic
672c9f4a9fSxu_zh  val req            = Flipped(Decoupled(new IPrefetchReq))
682c9f4a9fSxu_zh  val flushFromBpu   = Flipped(new BpuFlushInfo)
69b92f8445Sssszwic  val itlb           = Vec(PortNumber, new TlbRequestIO)
70b92f8445Sssszwic  val pmp            = Vec(PortNumber, new ICachePMPBundle)
71b92f8445Sssszwic  val metaRead       = new ICacheMetaReqBundle
72b92f8445Sssszwic  val MSHRReq        = DecoupledIO(new ICacheMissReq)
73b92f8445Sssszwic  val MSHRResp       = Flipped(ValidIO(new ICacheMissResp))
74b92f8445Sssszwic  val wayLookupWrite = DecoupledIO(new WayLookupInfo)
757052722fSJay}
767052722fSJay
77*cf7d6b7aSMuziclass IPrefetchPipe(implicit p: Parameters) extends IPrefetchModule {
7888895b11Sxu_zh  val io: IPrefetchIO = IO(new IPrefetchIO)
797052722fSJay
80b92f8445Sssszwic  val (toITLB, fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp))
81b92f8445Sssszwic  val (toPMP, fromPMP)   = (io.pmp.map(_.req), io.pmp.map(_.resp))
82b92f8445Sssszwic  val (toMeta, fromMeta) = (io.metaRead.toIMeta, io.metaRead.fromIMeta)
83b92f8445Sssszwic  val (toMSHR, fromMSHR) = (io.MSHRReq, io.MSHRResp)
84b92f8445Sssszwic  val toWayLookup        = io.wayLookupWrite
857052722fSJay
86b92f8445Sssszwic  val s0_fire, s1_fire, s2_fire            = WireInit(false.B)
87b92f8445Sssszwic  val s0_discard, s2_discard               = WireInit(false.B)
88b92f8445Sssszwic  val s0_ready, s1_ready, s2_ready         = WireInit(false.B)
89b92f8445Sssszwic  val s0_flush, s1_flush, s2_flush         = WireInit(false.B)
90b92f8445Sssszwic  val from_bpu_s0_flush, from_bpu_s1_flush = WireInit(false.B)
917052722fSJay
92cb6e5d3cSssszwic  /**
93cb6e5d3cSssszwic    ******************************************************************************
94cb6e5d3cSssszwic    * IPrefetch Stage 0
95b92f8445Sssszwic    * - 1. receive ftq req
96b92f8445Sssszwic    * - 2. send req to ITLB
97b92f8445Sssszwic    * - 3. send req to Meta SRAM
98cb6e5d3cSssszwic    ******************************************************************************
99cb6e5d3cSssszwic    */
1002c9f4a9fSxu_zh  val s0_valid = io.req.valid
101cb6e5d3cSssszwic
102b92f8445Sssszwic  /**
103b92f8445Sssszwic    ******************************************************************************
104b92f8445Sssszwic    * receive ftq req
105b92f8445Sssszwic    ******************************************************************************
106b92f8445Sssszwic    */
1072c9f4a9fSxu_zh  val s0_req_vaddr      = VecInit(Seq(io.req.bits.startAddr, io.req.bits.nextlineStart))
1082c9f4a9fSxu_zh  val s0_req_ftqIdx     = io.req.bits.ftqIdx
1092c9f4a9fSxu_zh  val s0_isSoftPrefetch = io.req.bits.isSoftPrefetch
1102c9f4a9fSxu_zh  val s0_doubleline     = io.req.bits.crossCacheline
11188895b11Sxu_zh  val s0_req_vSetIdx    = s0_req_vaddr.map(get_idx)
1127052722fSJay
1132c9f4a9fSxu_zh  from_bpu_s0_flush := !s0_isSoftPrefetch && (io.flushFromBpu.shouldFlushByStage2(s0_req_ftqIdx) ||
1142c9f4a9fSxu_zh    io.flushFromBpu.shouldFlushByStage3(s0_req_ftqIdx))
115b92f8445Sssszwic  s0_flush := io.flush || from_bpu_s0_flush || s1_flush
1167052722fSJay
117b92f8445Sssszwic  val s0_can_go = s1_ready && toITLB(0).ready && toITLB(1).ready && toMeta.ready
1182c9f4a9fSxu_zh  io.req.ready := s0_can_go
1197052722fSJay
120b92f8445Sssszwic  s0_fire := s0_valid && s0_can_go && !s0_flush
121cb6e5d3cSssszwic
122cb6e5d3cSssszwic  /**
123cb6e5d3cSssszwic    ******************************************************************************
124cb6e5d3cSssszwic    * IPrefetch Stage 1
125b92f8445Sssszwic    * - 1. Receive resp from ITLB
126b92f8445Sssszwic    * - 2. Receive resp from IMeta and check
127b92f8445Sssszwic    * - 3. Monitor the requests from missUnit to write to SRAM.
128b92f8445Sssszwic    * - 4. Wirte wayLookup
129cb6e5d3cSssszwic    ******************************************************************************
130cb6e5d3cSssszwic    */
131b92f8445Sssszwic  val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B)
132cb6e5d3cSssszwic
133b92f8445Sssszwic  val s1_req_vaddr      = RegEnable(s0_req_vaddr, 0.U.asTypeOf(s0_req_vaddr), s0_fire)
1342c9f4a9fSxu_zh  val s1_isSoftPrefetch = RegEnable(s0_isSoftPrefetch, 0.U.asTypeOf(s0_isSoftPrefetch), s0_fire)
135b92f8445Sssszwic  val s1_doubleline     = RegEnable(s0_doubleline, 0.U.asTypeOf(s0_doubleline), s0_fire)
136b92f8445Sssszwic  val s1_req_ftqIdx     = RegEnable(s0_req_ftqIdx, 0.U.asTypeOf(s0_req_ftqIdx), s0_fire)
13788895b11Sxu_zh  val s1_req_vSetIdx    = VecInit(s1_req_vaddr.map(get_idx))
1387052722fSJay
139b92f8445Sssszwic  val m_idle :: m_itlbResend :: m_metaResend :: m_enqWay :: m_enterS2 :: Nil = Enum(5)
140b92f8445Sssszwic  val state                                                                  = RegInit(m_idle)
141b92f8445Sssszwic  val next_state                                                             = WireDefault(state)
142b92f8445Sssszwic  val s0_fire_r                                                              = RegNext(s0_fire)
143b92f8445Sssszwic  dontTouch(state)
144b92f8445Sssszwic  dontTouch(next_state)
145b92f8445Sssszwic  state := next_state
1467052722fSJay
147b92f8445Sssszwic  /**
148b92f8445Sssszwic    ******************************************************************************
149b92f8445Sssszwic    * resend itlb req if miss
150b92f8445Sssszwic    ******************************************************************************
151b92f8445Sssszwic    */
152b92f8445Sssszwic  val s1_wait_itlb = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
153b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
154b92f8445Sssszwic    when(s1_flush) {
155b92f8445Sssszwic      s1_wait_itlb(i) := false.B
156b92f8445Sssszwic    }.elsewhen(RegNext(s0_fire) && fromITLB(i).bits.miss) {
157b92f8445Sssszwic      s1_wait_itlb(i) := true.B
158b92f8445Sssszwic    }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) {
159b92f8445Sssszwic      s1_wait_itlb(i) := false.B
160b92f8445Sssszwic    }
161b92f8445Sssszwic  }
162*cf7d6b7aSMuzi  val s1_need_itlb = VecInit(Seq(
163*cf7d6b7aSMuzi    (RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss,
164*cf7d6b7aSMuzi    (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_doubleline
165*cf7d6b7aSMuzi  ))
166*cf7d6b7aSMuzi  val tlb_valid_pulse = VecInit(Seq(
167*cf7d6b7aSMuzi    (RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss,
168*cf7d6b7aSMuzi    (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_doubleline
169*cf7d6b7aSMuzi  ))
170*cf7d6b7aSMuzi  val tlb_valid_latch =
171*cf7d6b7aSMuzi    VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_pulse(i), s1_fire, flush = s1_flush)))
172b92f8445Sssszwic  val itlb_finish = tlb_valid_latch(0) && (!s1_doubleline || tlb_valid_latch(1))
1737052722fSJay
174b92f8445Sssszwic  for (i <- 0 until PortNumber) {
175b92f8445Sssszwic    toITLB(i).valid             := s1_need_itlb(i) || (s0_valid && (if (i == 0) true.B else s0_doubleline))
176b92f8445Sssszwic    toITLB(i).bits              := DontCare
177b92f8445Sssszwic    toITLB(i).bits.size         := 3.U
178b92f8445Sssszwic    toITLB(i).bits.vaddr        := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i))
179b92f8445Sssszwic    toITLB(i).bits.debug.pc     := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i))
180b92f8445Sssszwic    toITLB(i).bits.cmd          := TlbCmd.exec
181b92f8445Sssszwic    toITLB(i).bits.no_translate := false.B
182b92f8445Sssszwic  }
183b92f8445Sssszwic  fromITLB.foreach(_.ready := true.B)
184b92f8445Sssszwic  io.itlb.foreach(_.req_kill := false.B)
1857052722fSJay
186b92f8445Sssszwic  /**
187b92f8445Sssszwic    ******************************************************************************
188b92f8445Sssszwic    * Receive resp from ITLB
189b92f8445Sssszwic    ******************************************************************************
190b92f8445Sssszwic    */
191b92f8445Sssszwic  val s1_req_paddr_wire = VecInit(fromITLB.map(_.bits.paddr(0)))
192b92f8445Sssszwic  val s1_req_paddr_reg = VecInit((0 until PortNumber).map(i =>
19388895b11Sxu_zh    RegEnable(s1_req_paddr_wire(i), 0.U(PAddrBits.W), tlb_valid_pulse(i))
19488895b11Sxu_zh  ))
195b92f8445Sssszwic  val s1_req_paddr = VecInit((0 until PortNumber).map(i =>
19688895b11Sxu_zh    Mux(tlb_valid_pulse(i), s1_req_paddr_wire(i), s1_req_paddr_reg(i))
19788895b11Sxu_zh  ))
19891946104Sxu_zh  val s1_req_gpaddr_tmp = VecInit((0 until PortNumber).map(i =>
199*cf7d6b7aSMuzi    ResultHoldBypass(
200*cf7d6b7aSMuzi      valid = tlb_valid_pulse(i),
201*cf7d6b7aSMuzi      init = 0.U.asTypeOf(fromITLB(i).bits.gpaddr(0)),
202*cf7d6b7aSMuzi      data = fromITLB(i).bits.gpaddr(0)
203*cf7d6b7aSMuzi    )
20488895b11Sxu_zh  ))
205ad415ae0SXiaokun-Pei  val s1_req_isForVSnonLeafPTE_tmp = VecInit((0 until PortNumber).map(i =>
206*cf7d6b7aSMuzi    ResultHoldBypass(
207*cf7d6b7aSMuzi      valid = tlb_valid_pulse(i),
208*cf7d6b7aSMuzi      init = 0.U.asTypeOf(fromITLB(i).bits.isForVSnonLeafPTE),
209*cf7d6b7aSMuzi      data = fromITLB(i).bits.isForVSnonLeafPTE
210*cf7d6b7aSMuzi    )
211ad415ae0SXiaokun-Pei  ))
21288895b11Sxu_zh  val s1_itlb_exception = VecInit((0 until PortNumber).map(i =>
213*cf7d6b7aSMuzi    ResultHoldBypass(
214*cf7d6b7aSMuzi      valid = tlb_valid_pulse(i),
215*cf7d6b7aSMuzi      init = 0.U(ExceptionType.width.W),
216*cf7d6b7aSMuzi      data = ExceptionType.fromTlbResp(fromITLB(i).bits)
217*cf7d6b7aSMuzi    )
21888895b11Sxu_zh  ))
219002c10a4SYanqin Li  val s1_itlb_pbmt = VecInit((0 until PortNumber).map(i =>
220*cf7d6b7aSMuzi    ResultHoldBypass(
221*cf7d6b7aSMuzi      valid = tlb_valid_pulse(i),
222*cf7d6b7aSMuzi      init = 0.U.asTypeOf(fromITLB(i).bits.pbmt(0)),
223*cf7d6b7aSMuzi      data = fromITLB(i).bits.pbmt(0)
224*cf7d6b7aSMuzi    )
225002c10a4SYanqin Li  ))
22688895b11Sxu_zh  val s1_itlb_exception_gpf = VecInit(s1_itlb_exception.map(_ === ExceptionType.gpf))
227b92f8445Sssszwic
22891946104Sxu_zh  /* Select gpaddr with the first gpf
22991946104Sxu_zh   * Note: the backend wants the base guest physical address of a fetch block
23091946104Sxu_zh   *       for port(i), its base gpaddr is actually (gpaddr - i * blocksize)
23191946104Sxu_zh   *       see GPAMem: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/backend/GPAMem.scala#L33-L34
23291946104Sxu_zh   *       see also: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/frontend/IFU.scala#L374-L375
23391946104Sxu_zh   */
23491946104Sxu_zh  val s1_req_gpaddr = PriorityMuxDefault(
23588895b11Sxu_zh    s1_itlb_exception_gpf zip (0 until PortNumber).map(i => s1_req_gpaddr_tmp(i) - (i << blockOffBits).U),
23691946104Sxu_zh    0.U.asTypeOf(s1_req_gpaddr_tmp(0))
23791946104Sxu_zh  )
23891946104Sxu_zh
239ad415ae0SXiaokun-Pei  val s1_req_isForVSnonLeafPTE = PriorityMuxDefault(
240ad415ae0SXiaokun-Pei    s1_itlb_exception_gpf zip s1_req_isForVSnonLeafPTE_tmp,
241ad415ae0SXiaokun-Pei    0.U.asTypeOf(s1_req_isForVSnonLeafPTE_tmp(0))
242ad415ae0SXiaokun-Pei  )
243ad415ae0SXiaokun-Pei
244b92f8445Sssszwic  /**
245b92f8445Sssszwic    ******************************************************************************
246b92f8445Sssszwic    * resend metaArray read req when itlb miss finish
247b92f8445Sssszwic    ******************************************************************************
248b92f8445Sssszwic    */
249b92f8445Sssszwic  val s1_need_meta = ((state === m_itlbResend) && itlb_finish) || (state === m_metaResend)
250b92f8445Sssszwic  toMeta.valid             := s1_need_meta || s0_valid
251b92f8445Sssszwic  toMeta.bits              := DontCare
252b92f8445Sssszwic  toMeta.bits.isDoubleLine := Mux(s1_need_meta, s1_doubleline, s0_doubleline)
253b92f8445Sssszwic
254b92f8445Sssszwic  for (i <- 0 until PortNumber) {
255b92f8445Sssszwic    toMeta.bits.vSetIdx(i) := Mux(s1_need_meta, s1_req_vSetIdx(i), s0_req_vSetIdx(i))
256cb6e5d3cSssszwic  }
257cb6e5d3cSssszwic
258cb6e5d3cSssszwic  /**
259cb6e5d3cSssszwic    ******************************************************************************
260b92f8445Sssszwic    * Receive resp from IMeta and check
261cb6e5d3cSssszwic    ******************************************************************************
262cb6e5d3cSssszwic    */
26388895b11Sxu_zh  val s1_req_ptags = VecInit(s1_req_paddr.map(get_phy_tag))
264cb6e5d3cSssszwic
265b92f8445Sssszwic  val s1_meta_ptags  = fromMeta.tags
266b92f8445Sssszwic  val s1_meta_valids = fromMeta.entryValid
2679bba777eSssszwic
268b92f8445Sssszwic  def get_waymask(paddrs: Vec[UInt]): Vec[UInt] = {
26988895b11Sxu_zh    val ptags = paddrs.map(get_phy_tag)
270*cf7d6b7aSMuzi    val tag_eq_vec =
271*cf7d6b7aSMuzi      VecInit((0 until PortNumber).map(p => VecInit((0 until nWays).map(w => s1_meta_ptags(p)(w) === ptags(p)))))
272*cf7d6b7aSMuzi    val tag_match_vec = VecInit((0 until PortNumber).map(k =>
273*cf7d6b7aSMuzi      VecInit(tag_eq_vec(k).zipWithIndex.map { case (way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w) })
274*cf7d6b7aSMuzi    ))
275b92f8445Sssszwic    val waymasks = VecInit(tag_match_vec.map(_.asUInt))
276b92f8445Sssszwic    waymasks
277cb6e5d3cSssszwic  }
2789bba777eSssszwic
2795ce94708Sxu_zh  val s1_SRAM_waymasks = VecInit((0 until PortNumber).map { port =>
2805ce94708Sxu_zh    Mux(tlb_valid_pulse(port), get_waymask(s1_req_paddr_wire)(port), get_waymask(s1_req_paddr_reg)(port))
2815ce94708Sxu_zh  })
282b92f8445Sssszwic
2838966a895Sxu_zh  // select ecc code
2848966a895Sxu_zh  /* NOTE:
2858966a895Sxu_zh   * When ECC check fails, s1_waymasks may be corrupted, so this selected meta_codes may be wrong.
2868966a895Sxu_zh   * However, we can guarantee that the request sent to the l2 cache and the response to the IFU are both correct,
2878966a895Sxu_zh   * considering the probability of bit flipping abnormally is very small, consider there's up to 1 bit being wrong:
2888966a895Sxu_zh   * 1. miss -> fake hit: The wrong bit in s1_waymasks was set to true.B, thus selects the wrong meta_codes,
2898966a895Sxu_zh   *                      but we can detect this by checking whether `encodeMetaECC(req_ptags) === meta_codes`.
2908966a895Sxu_zh   * 2. hit -> fake multi-hit: In normal situation, multi-hit never happens, so multi-hit indicates ECC failure,
2918966a895Sxu_zh   *                           we can detect this by checking whether `PopCount(waymasks) <= 1.U`,
2928966a895Sxu_zh   *                           and meta_codes is not important in this situation.
2938966a895Sxu_zh   * 3. hit -> fake miss: We can't detect this, but we can (pre)fetch the correct data from L2 cache, so it's not a problem.
2948966a895Sxu_zh   * 4. hit -> hit / miss -> miss: ECC failure happens in a irrelevant way, so we don't care about it this time.
2958966a895Sxu_zh   */
2965ce94708Sxu_zh  val s1_SRAM_meta_codes = VecInit((0 until PortNumber).map { port =>
2975ce94708Sxu_zh    Mux1H(s1_SRAM_waymasks(port), fromMeta.codes(port))
2988966a895Sxu_zh  })
2998966a895Sxu_zh
300b92f8445Sssszwic  /**
301b92f8445Sssszwic    ******************************************************************************
3025ce94708Sxu_zh    * update waymasks and meta_codes according to MSHR update data
3035ce94708Sxu_zh    ******************************************************************************
3045ce94708Sxu_zh    */
3055ce94708Sxu_zh  def update_meta_info(mask: UInt, vSetIdx: UInt, ptag: UInt, code: UInt): Tuple2[UInt, UInt] = {
3065ce94708Sxu_zh    require(mask.getWidth == nWays)
3075ce94708Sxu_zh    val new_mask  = WireInit(mask)
3085ce94708Sxu_zh    val new_code  = WireInit(code)
3095ce94708Sxu_zh    val valid     = fromMSHR.valid && !fromMSHR.bits.corrupt
3105ce94708Sxu_zh    val vset_same = fromMSHR.bits.vSetIdx === vSetIdx
3115ce94708Sxu_zh    val ptag_same = getPhyTagFromBlk(fromMSHR.bits.blkPaddr) === ptag
3125ce94708Sxu_zh    val way_same  = fromMSHR.bits.waymask === mask
3135ce94708Sxu_zh    when(valid && vset_same) {
3145ce94708Sxu_zh      when(ptag_same) {
3155ce94708Sxu_zh        new_mask := fromMSHR.bits.waymask
3165ce94708Sxu_zh        // also update meta_codes
3175ce94708Sxu_zh        // we have getPhyTagFromBlk(fromMSHR.bits.blkPaddr) === ptag, so we can use ptag directly for better timing
3185ce94708Sxu_zh        new_code := encodeMetaECC(ptag)
3195ce94708Sxu_zh      }.elsewhen(way_same) {
3205ce94708Sxu_zh        new_mask := 0.U
3215ce94708Sxu_zh        // we dont care about new_code, since it's not used for a missed request
3225ce94708Sxu_zh      }
3235ce94708Sxu_zh    }
3245ce94708Sxu_zh    (new_mask, new_code)
3255ce94708Sxu_zh  }
3265ce94708Sxu_zh
3275ce94708Sxu_zh  val s1_SRAM_valid   = s0_fire_r || RegNext(s1_need_meta && toMeta.ready)
3285ce94708Sxu_zh  val s1_MSHR_valid   = fromMSHR.valid && !fromMSHR.bits.corrupt
3295ce94708Sxu_zh  val s1_waymasks     = WireInit(VecInit(Seq.fill(PortNumber)(0.U(nWays.W))))
3305ce94708Sxu_zh  val s1_waymasks_r   = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_SRAM_valid || s1_MSHR_valid)
3315ce94708Sxu_zh  val s1_meta_codes   = WireInit(VecInit(Seq.fill(PortNumber)(0.U(ICacheMetaCodeBits.W))))
3325ce94708Sxu_zh  val s1_meta_codes_r = RegEnable(s1_meta_codes, 0.U.asTypeOf(s1_meta_codes), s1_SRAM_valid || s1_MSHR_valid)
3335ce94708Sxu_zh
3345ce94708Sxu_zh  // update waymasks and meta_codes
3355ce94708Sxu_zh  (0 until PortNumber).foreach { i =>
3365ce94708Sxu_zh    val old_waymask    = Mux(s1_SRAM_valid, s1_SRAM_waymasks(i), s1_waymasks_r(i))
3375ce94708Sxu_zh    val old_meta_codes = Mux(s1_SRAM_valid, s1_SRAM_meta_codes(i), s1_meta_codes_r(i))
3385ce94708Sxu_zh    val new_info       = update_meta_info(old_waymask, s1_req_vSetIdx(i), s1_req_ptags(i), old_meta_codes)
3395ce94708Sxu_zh    s1_waymasks(i)   := new_info._1
3405ce94708Sxu_zh    s1_meta_codes(i) := new_info._2
3415ce94708Sxu_zh  }
3425ce94708Sxu_zh
3435ce94708Sxu_zh  /**
3445ce94708Sxu_zh    ******************************************************************************
345b92f8445Sssszwic    * send enqueu req to WayLookup
346b92f8445Sssszwic    ******** **********************************************************************
347b92f8445Sssszwic    */
348b92f8445Sssszwic  // Disallow enqueuing wayLookup when SRAM write occurs.
3492c9f4a9fSxu_zh  toWayLookup.valid := ((state === m_enqWay) || ((state === m_idle) && itlb_finish)) &&
3502c9f4a9fSxu_zh    !s1_flush && !fromMSHR.valid && !s1_isSoftPrefetch // do not enqueue soft prefetch
351b92f8445Sssszwic  toWayLookup.bits.vSetIdx           := s1_req_vSetIdx
352b92f8445Sssszwic  toWayLookup.bits.waymask           := s1_waymasks
353b92f8445Sssszwic  toWayLookup.bits.ptag              := s1_req_ptags
354b92f8445Sssszwic  toWayLookup.bits.gpaddr            := s1_req_gpaddr
355ad415ae0SXiaokun-Pei  toWayLookup.bits.isForVSnonLeafPTE := s1_req_isForVSnonLeafPTE
3568966a895Sxu_zh  toWayLookup.bits.meta_codes        := s1_meta_codes
3571a5af821Sxu_zh  (0 until PortNumber).foreach { i =>
358*cf7d6b7aSMuzi    val excpValid = if (i == 0) true.B
359*cf7d6b7aSMuzi    else s1_doubleline // exception in first line is always valid, in second line is valid iff is doubleline request
36088895b11Sxu_zh    // Send s1_itlb_exception to WayLookup (instead of s1_exception_out) for better timing. Will check pmp again in mainPipe
36188895b11Sxu_zh    toWayLookup.bits.itlb_exception(i) := Mux(excpValid, s1_itlb_exception(i), ExceptionType.none)
362002c10a4SYanqin Li    toWayLookup.bits.itlb_pbmt(i)      := Mux(excpValid, s1_itlb_pbmt(i), Pbmt.pma)
3631a5af821Sxu_zh  }
364b92f8445Sssszwic
365b92f8445Sssszwic  val s1_waymasks_vec = s1_waymasks.map(_.asTypeOf(Vec(nWays, Bool())))
366b92f8445Sssszwic  when(toWayLookup.fire) {
367*cf7d6b7aSMuzi    assert(
368*cf7d6b7aSMuzi      PopCount(s1_waymasks_vec(0)) <= 1.U && (PopCount(s1_waymasks_vec(1)) <= 1.U || !s1_doubleline),
369b92f8445Sssszwic      "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ",
370*cf7d6b7aSMuzi      PopCount(s1_waymasks_vec(0)) > 1.U,
371*cf7d6b7aSMuzi      s1_req_ptags(0),
372*cf7d6b7aSMuzi      get_idx(s1_req_vaddr(0)),
373*cf7d6b7aSMuzi      s1_req_vaddr(0),
374*cf7d6b7aSMuzi      PopCount(s1_waymasks_vec(1)) > 1.U && s1_doubleline,
375*cf7d6b7aSMuzi      s1_req_ptags(1),
376*cf7d6b7aSMuzi      get_idx(s1_req_vaddr(1)),
377*cf7d6b7aSMuzi      s1_req_vaddr(1)
378*cf7d6b7aSMuzi    )
379b92f8445Sssszwic  }
380b92f8445Sssszwic
381b92f8445Sssszwic  /**
382b92f8445Sssszwic    ******************************************************************************
383b92f8445Sssszwic    * PMP check
384b92f8445Sssszwic    ******************************************************************************
385b92f8445Sssszwic    */
38688895b11Sxu_zh  toPMP.zipWithIndex.foreach { case (p, i) =>
38788895b11Sxu_zh    // if itlb has exception, paddr can be invalid, therefore pmp check can be skipped
38888895b11Sxu_zh    p.valid     := s1_valid // && s1_itlb_exception === ExceptionType.none
389b92f8445Sssszwic    p.bits.addr := s1_req_paddr(i)
390b92f8445Sssszwic    p.bits.size := 3.U      // TODO
391b92f8445Sssszwic    p.bits.cmd  := TlbCmd.exec
392b92f8445Sssszwic  }
39388895b11Sxu_zh  val s1_pmp_exception = VecInit(fromPMP.map(ExceptionType.fromPMPResp))
394002c10a4SYanqin Li  val s1_pmp_mmio      = VecInit(fromPMP.map(_.mmio))
39588895b11Sxu_zh
3968966a895Sxu_zh  // merge s1 itlb/pmp exceptions, itlb has the highest priority, pmp next
3978966a895Sxu_zh  // for timing consideration, meta_corrupt is not merged, and it will NOT cancel prefetch
398f80535c3Sxu_zh  val s1_exception_out = ExceptionType.merge(
399f80535c3Sxu_zh    s1_itlb_exception,
4008966a895Sxu_zh    s1_pmp_exception
401f80535c3Sxu_zh  )
402b92f8445Sssszwic
403002c10a4SYanqin Li  // merge pmp mmio and itlb pbmt
404002c10a4SYanqin Li  val s1_mmio = VecInit((s1_pmp_mmio zip s1_itlb_pbmt).map { case (mmio, pbmt) =>
405002c10a4SYanqin Li    mmio || Pbmt.isUncache(pbmt)
406002c10a4SYanqin Li  })
407002c10a4SYanqin Li
408b92f8445Sssszwic  /**
409b92f8445Sssszwic    ******************************************************************************
410b92f8445Sssszwic    * state machine
411b92f8445Sssszwic    ******** **********************************************************************
412b92f8445Sssszwic    */
413b92f8445Sssszwic
414b92f8445Sssszwic  switch(state) {
415b92f8445Sssszwic    is(m_idle) {
4162c9f4a9fSxu_zh      when(s1_valid) {
4172c9f4a9fSxu_zh        when(!itlb_finish) {
418b92f8445Sssszwic          next_state := m_itlbResend
4198c57174eSxu_zh        }.elsewhen(!toWayLookup.fire) { // itlb_finish
420b92f8445Sssszwic          next_state := m_enqWay
4218c57174eSxu_zh        }.elsewhen(!s2_ready) { // itlb_finish && toWayLookup.fire
422b92f8445Sssszwic          next_state := m_enterS2
4232c9f4a9fSxu_zh        } // .otherwise { next_state := m_idle }
4242c9f4a9fSxu_zh      }   // .otherwise { next_state := m_idle }  // !s1_valid
425b92f8445Sssszwic    }
426b92f8445Sssszwic    is(m_itlbResend) {
4272c9f4a9fSxu_zh      when(itlb_finish) {
4282c9f4a9fSxu_zh        when(!toMeta.ready) {
429b92f8445Sssszwic          next_state := m_metaResend
4308c57174eSxu_zh        }.otherwise { // toMeta.ready
431b92f8445Sssszwic          next_state := m_enqWay
432b92f8445Sssszwic        }
4332c9f4a9fSxu_zh      } // .otherwise { next_state := m_itlbResend }  // !itlb_finish
434b92f8445Sssszwic    }
435b92f8445Sssszwic    is(m_metaResend) {
436b92f8445Sssszwic      when(toMeta.ready) {
437b92f8445Sssszwic        next_state := m_enqWay
4382c9f4a9fSxu_zh      } // .otherwise { next_state := m_metaResend }  // !toMeta.ready
439b92f8445Sssszwic    }
440b92f8445Sssszwic    is(m_enqWay) {
4418c57174eSxu_zh      when(toWayLookup.fire || s1_isSoftPrefetch) {
4428c57174eSxu_zh        when(!s2_ready) {
443b92f8445Sssszwic          next_state := m_enterS2
4448c57174eSxu_zh        }.otherwise { // s2_ready
445b92f8445Sssszwic          next_state := m_idle
446b92f8445Sssszwic        }
4478c57174eSxu_zh      } // .otherwise { next_state := m_enqWay }
448b92f8445Sssszwic    }
449b92f8445Sssszwic    is(m_enterS2) {
450b92f8445Sssszwic      when(s2_ready) {
451b92f8445Sssszwic        next_state := m_idle
452b92f8445Sssszwic      }
453b92f8445Sssszwic    }
454b92f8445Sssszwic  }
455b92f8445Sssszwic
456b92f8445Sssszwic  when(s1_flush) {
457b92f8445Sssszwic    next_state := m_idle
458b92f8445Sssszwic  }
459b92f8445Sssszwic
460b92f8445Sssszwic  /** Stage 1 control */
4612c9f4a9fSxu_zh  from_bpu_s1_flush := s1_valid && !s1_isSoftPrefetch && io.flushFromBpu.shouldFlushByStage3(s1_req_ftqIdx)
462b92f8445Sssszwic  s1_flush          := io.flush || from_bpu_s1_flush
463b92f8445Sssszwic
464b92f8445Sssszwic  s1_ready := next_state === m_idle
465400391a3Sxu_zh  s1_fire  := (next_state === m_idle) && s1_valid && !s1_flush // used to clear s1_valid & itlb_valid_latch
466400391a3Sxu_zh  val s1_real_fire = s1_fire && io.csr_pf_enable // real "s1 fire" that s1 enters s2
467b92f8445Sssszwic
468b92f8445Sssszwic  /**
469b92f8445Sssszwic    ******************************************************************************
470b92f8445Sssszwic    * IPrefetch Stage 2
471b92f8445Sssszwic    * - 1. Monitor the requests from missUnit to write to SRAM.
472b92f8445Sssszwic    * - 2. send req to missUnit
473b92f8445Sssszwic    ******************************************************************************
474b92f8445Sssszwic    */
475*cf7d6b7aSMuzi  val s2_valid =
476*cf7d6b7aSMuzi    generatePipeControl(lastFire = s1_real_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B)
477b92f8445Sssszwic
478400391a3Sxu_zh  val s2_req_vaddr      = RegEnable(s1_req_vaddr, 0.U.asTypeOf(s1_req_vaddr), s1_real_fire)
4792c9f4a9fSxu_zh  val s2_isSoftPrefetch = RegEnable(s1_isSoftPrefetch, 0.U.asTypeOf(s1_isSoftPrefetch), s1_real_fire)
480400391a3Sxu_zh  val s2_doubleline     = RegEnable(s1_doubleline, 0.U.asTypeOf(s1_doubleline), s1_real_fire)
481400391a3Sxu_zh  val s2_req_paddr      = RegEnable(s1_req_paddr, 0.U.asTypeOf(s1_req_paddr), s1_real_fire)
482*cf7d6b7aSMuzi  val s2_exception =
483*cf7d6b7aSMuzi    RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_real_fire) // includes itlb/pmp exception
4848966a895Sxu_zh//  val s2_exception_in = RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_real_fire)  // disabled for timing consideration
485400391a3Sxu_zh  val s2_mmio     = RegEnable(s1_mmio, 0.U.asTypeOf(s1_mmio), s1_real_fire)
486400391a3Sxu_zh  val s2_waymasks = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_real_fire)
4878966a895Sxu_zh//  val s2_meta_codes   = RegEnable(s1_meta_codes,    0.U.asTypeOf(s1_meta_codes),    s1_real_fire)  // disabled for timing consideration
488b92f8445Sssszwic
48988895b11Sxu_zh  val s2_req_vSetIdx = s2_req_vaddr.map(get_idx)
49088895b11Sxu_zh  val s2_req_ptags   = s2_req_paddr.map(get_phy_tag)
491b92f8445Sssszwic
4928966a895Sxu_zh  // disabled for timing consideration
4938966a895Sxu_zh//  // do metaArray ECC check
4948966a895Sxu_zh//  val s2_meta_corrupt = VecInit((s2_req_ptags zip s2_meta_codes zip s2_waymasks).map{ case ((meta, code), waymask) =>
4958966a895Sxu_zh//    val hit_num = PopCount(waymask)
4968966a895Sxu_zh//    // NOTE: if not hit, encodeMetaECC(meta) =/= code can also be true, but we don't care about it
4978966a895Sxu_zh//    (encodeMetaECC(meta) =/= code && hit_num === 1.U) ||  // hit one way, but parity code does not match, ECC failure
4988966a895Sxu_zh//      hit_num > 1.U                                       // hit multi way, must be a ECC failure
4998966a895Sxu_zh//  })
5008966a895Sxu_zh//
5018966a895Sxu_zh//  // generate exception
5028966a895Sxu_zh//  val s2_meta_exception = VecInit(s2_meta_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _)))
5038966a895Sxu_zh//
5048966a895Sxu_zh//  // merge meta exception and itlb/pmp exception
5058966a895Sxu_zh//  val s2_exception = ExceptionType.merge(s2_exception_in, s2_meta_exception)
5068966a895Sxu_zh
507b92f8445Sssszwic  /**
508b92f8445Sssszwic    ******************************************************************************
509b92f8445Sssszwic    * Monitor the requests from missUnit to write to SRAM
510b92f8445Sssszwic    ******************************************************************************
511b92f8445Sssszwic    */
512b808ac73Sxu_zh
513b808ac73Sxu_zh  /* NOTE: If fromMSHR.bits.corrupt, we should set s2_MSHR_hits to false.B, and send prefetch requests again.
514b808ac73Sxu_zh   * This is the opposite of how mainPipe handles fromMSHR.bits.corrupt,
515b808ac73Sxu_zh   *   in which we should set s2_MSHR_hits to true.B, and send error to ifu.
516b808ac73Sxu_zh   */
517b808ac73Sxu_zh  val s2_MSHR_match = VecInit((0 until PortNumber).map(i =>
518b808ac73Sxu_zh    (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) &&
519b92f8445Sssszwic      (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) &&
520b808ac73Sxu_zh      s2_valid && fromMSHR.valid && !fromMSHR.bits.corrupt
521b808ac73Sxu_zh  ))
522b92f8445Sssszwic  val s2_MSHR_hits = (0 until PortNumber).map(i => ValidHoldBypass(s2_MSHR_match(i), s2_fire || s2_flush))
523b92f8445Sssszwic
524b808ac73Sxu_zh  val s2_SRAM_hits = s2_waymasks.map(_.orR)
525b808ac73Sxu_zh  val s2_hits      = VecInit((0 until PortNumber).map(i => s2_MSHR_hits(i) || s2_SRAM_hits(i)))
526b808ac73Sxu_zh
527f80535c3Sxu_zh  /* s2_exception includes itlb pf/gpf/af, pmp af and meta corruption (af), neither of which should be prefetched
52888895b11Sxu_zh   * mmio should not be prefetched
529f80535c3Sxu_zh   * also, if previous has exception, latter port should also not be prefetched
53088895b11Sxu_zh   */
531b808ac73Sxu_zh  val s2_miss = VecInit((0 until PortNumber).map { i =>
532b808ac73Sxu_zh    !s2_hits(i) && (if (i == 0) true.B else s2_doubleline) &&
53388895b11Sxu_zh    s2_exception.take(i + 1).map(_ === ExceptionType.none).reduce(_ && _) &&
53488895b11Sxu_zh    s2_mmio.take(i + 1).map(!_).reduce(_ && _)
535b808ac73Sxu_zh  })
536b92f8445Sssszwic
537b92f8445Sssszwic  /**
538b92f8445Sssszwic    ******************************************************************************
539b92f8445Sssszwic    * send req to missUnit
540b92f8445Sssszwic    ******************************************************************************
541b92f8445Sssszwic    */
542b92f8445Sssszwic  val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber))
543b92f8445Sssszwic
544b92f8445Sssszwic  // To avoid sending duplicate requests.
545b808ac73Sxu_zh  val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
546b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
547400391a3Sxu_zh    when(s1_real_fire) {
548b92f8445Sssszwic      has_send(i) := false.B
549b92f8445Sssszwic    }.elsewhen(toMSHRArbiter.io.in(i).fire) {
550b92f8445Sssszwic      has_send(i) := true.B
551b92f8445Sssszwic    }
552b92f8445Sssszwic  }
553b92f8445Sssszwic
554b92f8445Sssszwic  (0 until PortNumber).map { i =>
555b92f8445Sssszwic    toMSHRArbiter.io.in(i).valid         := s2_valid && s2_miss(i) && !has_send(i)
556b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.blkPaddr := getBlkAddr(s2_req_paddr(i))
557b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.vSetIdx  := s2_req_vSetIdx(i)
558b92f8445Sssszwic  }
559b92f8445Sssszwic
560b92f8445Sssszwic  toMSHR <> toMSHRArbiter.io.out
561b92f8445Sssszwic
562b92f8445Sssszwic  s2_flush := io.flush
563b92f8445Sssszwic
5642196d1caSxu_zh  // toMSHRArbiter.io.in(i).fire is not used here for timing consideration
5652196d1caSxu_zh  // val s2_finish  = (0 until PortNumber).map(i => has_send(i) || !s2_miss(i) || toMSHRArbiter.io.in(i).fire).reduce(_&&_)
5662196d1caSxu_zh  val s2_finish = (0 until PortNumber).map(i => has_send(i) || !s2_miss(i)).reduce(_ && _)
567b92f8445Sssszwic  s2_ready := s2_finish || !s2_valid
568b92f8445Sssszwic  s2_fire  := s2_valid && s2_finish && !s2_flush
5699bba777eSssszwic
570cb6e5d3cSssszwic  /** PerfAccumulate */
5712c9f4a9fSxu_zh  // the number of bpu flush
5722c9f4a9fSxu_zh  XSPerfAccumulate("bpu_s0_flush", from_bpu_s0_flush)
5732c9f4a9fSxu_zh  XSPerfAccumulate("bpu_s1_flush", from_bpu_s1_flush)
5742c9f4a9fSxu_zh  // the number of prefetch request received from ftq or backend (software prefetch)
5752c9f4a9fSxu_zh//  XSPerfAccumulate("prefetch_req_receive", io.req.fire)
5762c9f4a9fSxu_zh  XSPerfAccumulate("prefetch_req_receive_hw", io.req.fire && !io.req.bits.isSoftPrefetch)
5772c9f4a9fSxu_zh  XSPerfAccumulate("prefetch_req_receive_sw", io.req.fire && io.req.bits.isSoftPrefetch)
578b92f8445Sssszwic  // the number of prefetch request sent to missUnit
5792c9f4a9fSxu_zh//  XSPerfAccumulate("prefetch_req_send", toMSHR.fire)
5802c9f4a9fSxu_zh  XSPerfAccumulate("prefetch_req_send_hw", toMSHR.fire && !s2_isSoftPrefetch)
5812c9f4a9fSxu_zh  XSPerfAccumulate("prefetch_req_send_sw", toMSHR.fire && s2_isSoftPrefetch)
582b92f8445Sssszwic  XSPerfAccumulate("to_missUnit_stall", toMSHR.valid && !toMSHR.ready)
583*cf7d6b7aSMuzi
584cb6e5d3cSssszwic  /**
585cb6e5d3cSssszwic    * Count the number of requests that are filtered for various reasons.
586cb6e5d3cSssszwic    * The number of prefetch discard in Performance Accumulator may be
587cb6e5d3cSssszwic    * a littel larger the number of really discarded. Because there can
588cb6e5d3cSssszwic    * be multiple reasons for a canceled request at the same time.
589cb6e5d3cSssszwic    */
590b92f8445Sssszwic  // discard prefetch request by flush
591b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_tlb_except",  p1_discard && p1_tlb_except)
592b92f8445Sssszwic  // // discard prefetch request by hit icache SRAM
593b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_hit_cache",   p2_discard && p1_meta_hit)
594b92f8445Sssszwic  // // discard prefetch request by hit wirte SRAM
595b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_p1_monoitor", p1_discard && p1_monitor_hit)
596b92f8445Sssszwic  // // discard prefetch request by pmp except or mmio
597b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_pmp",         p2_discard && p2_pmp_except)
598b92f8445Sssszwic  // // discard prefetch request by hit mainPipe info
599b92f8445Sssszwic  // // XSPerfAccumulate("fdip_prefetch_discard_by_mainPipe",    p2_discard && p2_mainPipe_hit)
6007052722fSJay}
601