xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/IPrefetch.scala (revision b808ac73a4385d9040cc34856c656e2058933e3f)
17052722fSJay/***************************************************************************************
27052722fSJay  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
37052722fSJay  * Copyright (c) 2020-2021 Peng Cheng Laboratory
47052722fSJay  *
57052722fSJay  * XiangShan is licensed under Mulan PSL v2.
67052722fSJay  * You can use this software according to the terms and conditions of the Mulan PSL v2.
77052722fSJay  * You may obtain a copy of Mulan PSL v2 at:
87052722fSJay  *          http://license.coscl.org.cn/MulanPSL2
97052722fSJay  *
107052722fSJay  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
117052722fSJay  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
127052722fSJay  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
137052722fSJay  *
147052722fSJay  * See the Mulan PSL v2 for more details.
157052722fSJay  ***************************************************************************************/
167052722fSJay
177052722fSJaypackage xiangshan.frontend.icache
187052722fSJay
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
207052722fSJayimport chisel3._
217052722fSJayimport chisel3.util._
227d45a146SYinan Xuimport difftest._
237052722fSJayimport freechips.rocketchip.tilelink._
247052722fSJayimport utils._
257052722fSJayimport xiangshan.cache.mmu._
267052722fSJayimport xiangshan.frontend._
27d2b20d1aSTang Haojinimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
28d2b20d1aSTang Haojinimport huancun.PreferCacheKey
29b92c5693STang Haojinimport xiangshan.XSCoreParamsKey
30b1ded4e8Sguohongyuimport utility._
317052722fSJay
327052722fSJayabstract class IPrefetchBundle(implicit p: Parameters) extends ICacheBundle
337052722fSJayabstract class IPrefetchModule(implicit p: Parameters) extends ICacheModule
347052722fSJay
35cb6e5d3cSssszwicclass IPredfetchIO(implicit p: Parameters) extends IPrefetchBundle {
36b92f8445Sssszwic  // control
37b92f8445Sssszwic  val csr_pf_enable     = Input(Bool())
38b92f8445Sssszwic  val flush             = Input(Bool())
3958c354d0Sssszwic
40b92f8445Sssszwic  val ftqReq            = Flipped(new FtqToPrefetchIO)
41b92f8445Sssszwic  val itlb              = Vec(PortNumber, new TlbRequestIO)
42b92f8445Sssszwic  val pmp               = Vec(PortNumber, new ICachePMPBundle)
43b92f8445Sssszwic  val metaRead          = new ICacheMetaReqBundle
44b92f8445Sssszwic  val MSHRReq           = DecoupledIO(new ICacheMissReq)
45b92f8445Sssszwic  val MSHRResp          = Flipped(ValidIO(new ICacheMissResp))
46b92f8445Sssszwic  val wayLookupWrite    = DecoupledIO(new WayLookupInfo)
477052722fSJay}
487052722fSJay
497052722fSJayclass IPrefetchPipe(implicit p: Parameters) extends  IPrefetchModule
507052722fSJay{
517052722fSJay  val io = IO(new IPredfetchIO)
527052722fSJay
53cb6e5d3cSssszwic  val fromFtq = io.ftqReq
54b92f8445Sssszwic  val (toITLB,  fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp))
55b92f8445Sssszwic  val (toPMP,  fromPMP)   = (io.pmp.map(_.req), io.pmp.map(_.resp))
56b92f8445Sssszwic  val (toMeta,  fromMeta) = (io.metaRead.toIMeta,  io.metaRead.fromIMeta)
57b92f8445Sssszwic  val (toMSHR, fromMSHR)  = (io.MSHRReq, io.MSHRResp)
58b92f8445Sssszwic  val toWayLookup = io.wayLookupWrite
597052722fSJay
60b92f8445Sssszwic  val enableBit = RegInit(false.B)
61b92f8445Sssszwic  enableBit := io.csr_pf_enable
6258c354d0Sssszwic
63b92f8445Sssszwic  val s0_fire, s1_fire, s2_fire             = WireInit(false.B)
64b92f8445Sssszwic  val s0_discard, s2_discard                = WireInit(false.B)
65b92f8445Sssszwic  val s0_ready, s1_ready, s2_ready          = WireInit(false.B)
66b92f8445Sssszwic  val s0_flush, s1_flush, s2_flush          = WireInit(false.B)
67b92f8445Sssszwic  val from_bpu_s0_flush, from_bpu_s1_flush  = WireInit(false.B)
687052722fSJay
69cb6e5d3cSssszwic  /**
70cb6e5d3cSssszwic    ******************************************************************************
71cb6e5d3cSssszwic    * IPrefetch Stage 0
72b92f8445Sssszwic    * - 1. receive ftq req
73b92f8445Sssszwic    * - 2. send req to ITLB
74b92f8445Sssszwic    * - 3. send req to Meta SRAM
75cb6e5d3cSssszwic    ******************************************************************************
76cb6e5d3cSssszwic    */
77b92f8445Sssszwic  val s0_valid  = fromFtq.req.valid
78cb6e5d3cSssszwic
79b92f8445Sssszwic  /**
80b92f8445Sssszwic    ******************************************************************************
81b92f8445Sssszwic    * receive ftq req
82b92f8445Sssszwic    ******************************************************************************
83b92f8445Sssszwic    */
84b92f8445Sssszwic  val s0_req_vaddr    = VecInit(Seq(fromFtq.req.bits.startAddr, fromFtq.req.bits.nextlineStart))
85b92f8445Sssszwic  val s0_req_ftqIdx   = fromFtq.req.bits.ftqIdx
86b92f8445Sssszwic  val s0_doubleline   = fromFtq.req.bits.crossCacheline
87b92f8445Sssszwic  val s0_req_vSetIdx  = s0_req_vaddr.map(get_idx(_))
887052722fSJay
89b92f8445Sssszwic  from_bpu_s0_flush := fromFtq.flushFromBpu.shouldFlushByStage2(s0_req_ftqIdx) ||
90b92f8445Sssszwic                       fromFtq.flushFromBpu.shouldFlushByStage3(s0_req_ftqIdx)
91b92f8445Sssszwic  s0_flush := io.flush || from_bpu_s0_flush || s1_flush
927052722fSJay
93b92f8445Sssszwic  val s0_can_go = s1_ready && toITLB(0).ready && toITLB(1).ready && toMeta.ready
94b92f8445Sssszwic  fromFtq.req.ready := s0_can_go
957052722fSJay
96b92f8445Sssszwic  s0_fire := s0_valid && s0_can_go && !s0_flush
97cb6e5d3cSssszwic
98cb6e5d3cSssszwic  /**
99cb6e5d3cSssszwic    ******************************************************************************
100cb6e5d3cSssszwic    * IPrefetch Stage 1
101b92f8445Sssszwic    * - 1. Receive resp from ITLB
102b92f8445Sssszwic    * - 2. Receive resp from IMeta and check
103b92f8445Sssszwic    * - 3. Monitor the requests from missUnit to write to SRAM.
104b92f8445Sssszwic    * - 4. Wirte wayLookup
105cb6e5d3cSssszwic    ******************************************************************************
106cb6e5d3cSssszwic    */
107b92f8445Sssszwic  val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B)
108cb6e5d3cSssszwic
109b92f8445Sssszwic  val s1_req_vaddr    = RegEnable(s0_req_vaddr, 0.U.asTypeOf(s0_req_vaddr), s0_fire)
110b92f8445Sssszwic  val s1_doubleline   = RegEnable(s0_doubleline, 0.U.asTypeOf(s0_doubleline), s0_fire)
111b92f8445Sssszwic  val s1_req_ftqIdx   = RegEnable(s0_req_ftqIdx, 0.U.asTypeOf(s0_req_ftqIdx), s0_fire)
112b92f8445Sssszwic  val s1_req_vSetIdx  = VecInit(s1_req_vaddr.map(get_idx(_)))
1137052722fSJay
114b92f8445Sssszwic  val m_idle :: m_itlbResend :: m_metaResend :: m_enqWay :: m_enterS2 :: Nil = Enum(5)
115b92f8445Sssszwic  val state = RegInit(m_idle)
116b92f8445Sssszwic  val next_state = WireDefault(state)
117b92f8445Sssszwic  val s0_fire_r = RegNext(s0_fire)
118b92f8445Sssszwic  dontTouch(state)
119b92f8445Sssszwic  dontTouch(next_state)
120b92f8445Sssszwic  state := next_state
1217052722fSJay
122b92f8445Sssszwic  /**
123b92f8445Sssszwic    ******************************************************************************
124b92f8445Sssszwic    * resend itlb req if miss
125b92f8445Sssszwic    ******************************************************************************
126b92f8445Sssszwic    */
127b92f8445Sssszwic  val s1_wait_itlb  = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
128b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
129b92f8445Sssszwic    when(s1_flush) {
130b92f8445Sssszwic      s1_wait_itlb(i) := false.B
131b92f8445Sssszwic    }.elsewhen(RegNext(s0_fire) && fromITLB(i).bits.miss) {
132b92f8445Sssszwic      s1_wait_itlb(i) := true.B
133b92f8445Sssszwic    }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) {
134b92f8445Sssszwic      s1_wait_itlb(i) := false.B
135b92f8445Sssszwic    }
136b92f8445Sssszwic  }
137b92f8445Sssszwic  val s1_need_itlb    = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss,
138b92f8445Sssszwic                                    (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_doubleline))
139b92f8445Sssszwic  val tlb_valid_pulse = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss,
140b92f8445Sssszwic                                    (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_doubleline))
141b92f8445Sssszwic  val tlb_valid_latch = VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_pulse(i), s1_fire, flush=s1_flush)))
142b92f8445Sssszwic  val itlb_finish     = tlb_valid_latch(0) && (!s1_doubleline || tlb_valid_latch(1))
1437052722fSJay
144b92f8445Sssszwic  for (i <- 0 until PortNumber) {
145b92f8445Sssszwic    toITLB(i).valid             := s1_need_itlb(i) || (s0_valid && (if(i == 0) true.B else s0_doubleline))
146b92f8445Sssszwic    toITLB(i).bits              := DontCare
147b92f8445Sssszwic    toITLB(i).bits.size         := 3.U
148b92f8445Sssszwic    toITLB(i).bits.vaddr        := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i))
149b92f8445Sssszwic    toITLB(i).bits.debug.pc     := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i))
150b92f8445Sssszwic    toITLB(i).bits.cmd          := TlbCmd.exec
151b92f8445Sssszwic    toITLB(i).bits.no_translate := false.B
152b92f8445Sssszwic  }
153b92f8445Sssszwic  fromITLB.foreach(_.ready := true.B)
154b92f8445Sssszwic  io.itlb.foreach(_.req_kill := false.B)
1557052722fSJay
156b92f8445Sssszwic  /**
157b92f8445Sssszwic    ******************************************************************************
158b92f8445Sssszwic    * Receive resp from ITLB
159b92f8445Sssszwic    ******************************************************************************
160b92f8445Sssszwic    */
161b92f8445Sssszwic  val s1_req_paddr_wire   = VecInit(fromITLB.map(_.bits.paddr(0)))
162b92f8445Sssszwic  val s1_req_paddr_reg    = VecInit((0 until PortNumber).map(i =>
163b92f8445Sssszwic                                RegEnable(s1_req_paddr_wire(i), 0.U(PAddrBits.W), tlb_valid_pulse(i))))
164b92f8445Sssszwic  val s1_req_paddr        = VecInit((0 until PortNumber).map(i =>
165b92f8445Sssszwic                                Mux(tlb_valid_pulse(i), s1_req_paddr_wire(i), s1_req_paddr_reg(i))))
16691946104Sxu_zh  val s1_req_gpaddr_tmp   = VecInit((0 until PortNumber).map(i =>
167b92f8445Sssszwic                                ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.gpaddr(0)), data = fromITLB(i).bits.gpaddr(0))))
168b92f8445Sssszwic  val itlbExcpPF          = VecInit((0 until PortNumber).map(i =>
169b92f8445Sssszwic                                ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.excp(0).pf.instr), data = fromITLB(i).bits.excp(0).pf.instr)))
170b92f8445Sssszwic  val itlbExcpGPF         = VecInit((0 until PortNumber).map(i =>
171b92f8445Sssszwic                                ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.excp(0).gpf.instr), data = fromITLB(i).bits.excp(0).gpf.instr)))
172b92f8445Sssszwic  val itlbExcpAF          = VecInit((0 until PortNumber).map(i =>
173b92f8445Sssszwic                                ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.excp(0).af.instr), data = fromITLB(i).bits.excp(0).af.instr)))
174b92f8445Sssszwic  val itlbExcp            = VecInit((0 until PortNumber).map(i => itlbExcpAF(i) || itlbExcpPF(i) || itlbExcpGPF(i)))
175b92f8445Sssszwic
17691946104Sxu_zh  /* Select gpaddr with the first gpf
17791946104Sxu_zh   * Note: the backend wants the base guest physical address of a fetch block
17891946104Sxu_zh   *       for port(i), its base gpaddr is actually (gpaddr - i * blocksize)
17991946104Sxu_zh   *       see GPAMem: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/backend/GPAMem.scala#L33-L34
18091946104Sxu_zh   *       see also: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/frontend/IFU.scala#L374-L375
18191946104Sxu_zh   */
18291946104Sxu_zh  val s1_req_gpaddr = PriorityMuxDefault(
18391946104Sxu_zh    itlbExcpGPF zip (0 until PortNumber).map(i => s1_req_gpaddr_tmp(i) - (i << blockOffBits).U),
18491946104Sxu_zh    0.U.asTypeOf(s1_req_gpaddr_tmp(0))
18591946104Sxu_zh  )
18691946104Sxu_zh
187b92f8445Sssszwic  /**
188b92f8445Sssszwic    ******************************************************************************
189b92f8445Sssszwic    * resend metaArray read req when itlb miss finish
190b92f8445Sssszwic    ******************************************************************************
191b92f8445Sssszwic    */
192b92f8445Sssszwic  val s1_need_meta = ((state === m_itlbResend) && itlb_finish) || (state === m_metaResend)
193b92f8445Sssszwic  toMeta.valid              := s1_need_meta || s0_valid
194b92f8445Sssszwic  toMeta.bits               := DontCare
195b92f8445Sssszwic  toMeta.bits.isDoubleLine  := Mux(s1_need_meta, s1_doubleline, s0_doubleline)
196b92f8445Sssszwic
197b92f8445Sssszwic  for (i <- 0 until PortNumber) {
198b92f8445Sssszwic    toMeta.bits.vSetIdx(i)  := Mux(s1_need_meta, s1_req_vSetIdx(i), s0_req_vSetIdx(i))
199cb6e5d3cSssszwic  }
200cb6e5d3cSssszwic
201cb6e5d3cSssszwic  /**
202cb6e5d3cSssszwic    ******************************************************************************
203b92f8445Sssszwic    * Receive resp from IMeta and check
204cb6e5d3cSssszwic    ******************************************************************************
205cb6e5d3cSssszwic    */
206b92f8445Sssszwic  val s1_req_ptags    = VecInit(s1_req_paddr.map(get_phy_tag(_)))
207cb6e5d3cSssszwic
208b92f8445Sssszwic  val s1_meta_ptags   = fromMeta.tags
209b92f8445Sssszwic  val s1_meta_valids  = fromMeta.entryValid
210b92f8445Sssszwic  val s1_meta_errors = VecInit((0 until PortNumber).map( p =>
211b92f8445Sssszwic    // If error is found in either way, the tag_eq_vec is unreliable, so we do not use waymask, but directly .orR
212b92f8445Sssszwic    fromMeta.errors(p).asUInt.orR
213b92f8445Sssszwic  ))
2149bba777eSssszwic
215b92f8445Sssszwic  def get_waymask(paddrs: Vec[UInt]): Vec[UInt] = {
216b92f8445Sssszwic    val ptags         = paddrs.map(get_phy_tag(_))
217b92f8445Sssszwic    val tag_eq_vec    = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w => s1_meta_ptags(p)(w) === ptags(p)))))
218b92f8445Sssszwic    val tag_match_vec = VecInit((0 until PortNumber).map( k => VecInit(tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w)})))
219b92f8445Sssszwic    val waymasks      = VecInit(tag_match_vec.map(_.asUInt))
220b92f8445Sssszwic    waymasks
221cb6e5d3cSssszwic  }
2229bba777eSssszwic
223b92f8445Sssszwic  val s1_SRAM_waymasks = VecInit((0 until PortNumber).map(i =>
224b92f8445Sssszwic                            Mux(tlb_valid_pulse(i), get_waymask(s1_req_paddr_wire)(i), get_waymask(s1_req_paddr_reg)(i))))
225b92f8445Sssszwic
226b92f8445Sssszwic  /**
227b92f8445Sssszwic    ******************************************************************************
228b4f1e5b2Sxu_zh    * update waymask according to MSHR update data
229b92f8445Sssszwic    ******************************************************************************
230b92f8445Sssszwic    */
231b92f8445Sssszwic  def update_waymask(mask: UInt, vSetIdx: UInt, ptag: UInt): UInt = {
232b92f8445Sssszwic    require(mask.getWidth == nWays)
233b92f8445Sssszwic    val new_mask  = WireInit(mask)
234b4f1e5b2Sxu_zh    val valid = fromMSHR.valid && !fromMSHR.bits.corrupt
235b4f1e5b2Sxu_zh    val vset_same = fromMSHR.bits.vSetIdx === vSetIdx
236b92f8445Sssszwic    val ptag_same = getPhyTagFromBlk(fromMSHR.bits.blkPaddr) === ptag
237b92f8445Sssszwic    val way_same  = fromMSHR.bits.waymask === mask
238b4f1e5b2Sxu_zh    when(valid && vset_same) {
239b92f8445Sssszwic      when(ptag_same) {
240b92f8445Sssszwic        new_mask := fromMSHR.bits.waymask
241b92f8445Sssszwic      }.elsewhen(way_same) {
242b92f8445Sssszwic        new_mask := 0.U
243cb6e5d3cSssszwic      }
244b92f8445Sssszwic    }
245b92f8445Sssszwic    new_mask
246b92f8445Sssszwic  }
247b92f8445Sssszwic
248b92f8445Sssszwic  val s1_SRAM_valid = s0_fire_r || RegNext(s1_need_meta && toMeta.ready)
249b4f1e5b2Sxu_zh  val s1_MSHR_valid = fromMSHR.valid && !fromMSHR.bits.corrupt
250b4f1e5b2Sxu_zh  val s1_waymasks   = WireInit(VecInit(Seq.fill(PortNumber)(0.U(nWays.W))))
251b4f1e5b2Sxu_zh  val s1_waymasks_r = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_SRAM_valid || s1_MSHR_valid)
252b92f8445Sssszwic  (0 until PortNumber).foreach{i =>
253b4f1e5b2Sxu_zh    val old_waymask = Mux(s1_SRAM_valid, s1_SRAM_waymasks(i), s1_waymasks_r(i))
254b4f1e5b2Sxu_zh    s1_waymasks(i) := update_waymask(old_waymask, s1_req_vSetIdx(i), s1_req_ptags(i))
255b92f8445Sssszwic  }
256b92f8445Sssszwic
257b92f8445Sssszwic  /**
258b92f8445Sssszwic    ******************************************************************************
259b92f8445Sssszwic    * send enqueu req to WayLookup
260b92f8445Sssszwic    ******** **********************************************************************
261b92f8445Sssszwic    */
262b92f8445Sssszwic  // Disallow enqueuing wayLookup when SRAM write occurs.
263b92f8445Sssszwic  toWayLookup.valid             := ((state === m_enqWay) || ((state === m_idle) && itlb_finish)) && !s1_flush && !fromMSHR.valid
264b92f8445Sssszwic  toWayLookup.bits.vSetIdx      := s1_req_vSetIdx
265b92f8445Sssszwic  toWayLookup.bits.waymask      := s1_waymasks
266b92f8445Sssszwic  toWayLookup.bits.ptag         := s1_req_ptags
267b92f8445Sssszwic  toWayLookup.bits.gpaddr       := s1_req_gpaddr
2681a5af821Sxu_zh  (0 until PortNumber).foreach { i =>
2691a5af821Sxu_zh    val excpValid = (if (i == 0) true.B else s1_doubleline)  // exception in first line is always valid, in second line is valid iff is doubleline request
2701a5af821Sxu_zh    toWayLookup.bits.excp_tlb_af(i)  := excpValid && itlbExcpAF(i)
2711a5af821Sxu_zh    toWayLookup.bits.excp_tlb_pf(i)  := excpValid && itlbExcpPF(i)
2721a5af821Sxu_zh    toWayLookup.bits.excp_tlb_gpf(i) := excpValid && itlbExcpGPF(i)
2731a5af821Sxu_zh    toWayLookup.bits.meta_errors(i)  := excpValid && s1_meta_errors(i)
2741a5af821Sxu_zh  }
275b92f8445Sssszwic
276b92f8445Sssszwic  val s1_waymasks_vec = s1_waymasks.map(_.asTypeOf(Vec(nWays, Bool())))
277b92f8445Sssszwic  when(toWayLookup.fire) {
278b92f8445Sssszwic    assert(PopCount(s1_waymasks_vec(0)) <= 1.U && (PopCount(s1_waymasks_vec(1)) <= 1.U || !s1_doubleline),
279b92f8445Sssszwic      "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ",
280b92f8445Sssszwic      PopCount(s1_waymasks_vec(0)) > 1.U, s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0),
281b92f8445Sssszwic      PopCount(s1_waymasks_vec(1)) > 1.U && s1_doubleline, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1))
282b92f8445Sssszwic  }
283b92f8445Sssszwic
284b92f8445Sssszwic  /**
285b92f8445Sssszwic    ******************************************************************************
286b92f8445Sssszwic    * PMP check
287b92f8445Sssszwic    ******************************************************************************
288b92f8445Sssszwic    */
289b92f8445Sssszwic  toPMP.zipWithIndex.map { case (p, i) =>
290b92f8445Sssszwic    p.valid     := s1_valid
291b92f8445Sssszwic    p.bits.addr := s1_req_paddr(i)
292b92f8445Sssszwic    p.bits.size := 3.U // TODO
293b92f8445Sssszwic    p.bits.cmd  := TlbCmd.exec
294b92f8445Sssszwic  }
295*b808ac73Sxu_zh  val pmpExcp = VecInit((0 until PortNumber).map( i => fromPMP(i).instr || fromPMP(i).mmio ))
296b92f8445Sssszwic
297b92f8445Sssszwic  /**
298b92f8445Sssszwic    ******************************************************************************
299b92f8445Sssszwic    * state machine
300b92f8445Sssszwic    ******** **********************************************************************
301b92f8445Sssszwic    */
302b92f8445Sssszwic
303b92f8445Sssszwic  switch(state) {
304b92f8445Sssszwic    is(m_idle) {
305b92f8445Sssszwic      when(s1_valid && !itlb_finish) {
306b92f8445Sssszwic        next_state := m_itlbResend
307b92f8445Sssszwic      }.elsewhen(s1_valid && itlb_finish && !toWayLookup.fire) {
308b92f8445Sssszwic        next_state := m_enqWay
309b92f8445Sssszwic      }.elsewhen(s1_valid && itlb_finish && toWayLookup.fire && !s2_ready) {
310b92f8445Sssszwic        next_state := m_enterS2
311b92f8445Sssszwic      }
312b92f8445Sssszwic    }
313b92f8445Sssszwic    is(m_itlbResend) {
314b92f8445Sssszwic      when(itlb_finish && !toMeta.ready) {
315b92f8445Sssszwic        next_state := m_metaResend
316b92f8445Sssszwic      }.elsewhen(itlb_finish && toMeta.ready) {
317b92f8445Sssszwic        next_state := m_enqWay
318b92f8445Sssszwic      }
319b92f8445Sssszwic    }
320b92f8445Sssszwic    is(m_metaResend) {
321b92f8445Sssszwic      when(toMeta.ready) {
322b92f8445Sssszwic        next_state := m_enqWay
323b92f8445Sssszwic      }
324b92f8445Sssszwic    }
325b92f8445Sssszwic    is(m_enqWay) {
326b92f8445Sssszwic      when(toWayLookup.fire && !s2_ready) {
327b92f8445Sssszwic        next_state := m_enterS2
328b92f8445Sssszwic      }.elsewhen(toWayLookup.fire && s2_ready) {
329b92f8445Sssszwic        next_state := m_idle
330b92f8445Sssszwic      }
331b92f8445Sssszwic    }
332b92f8445Sssszwic    is(m_enterS2) {
333b92f8445Sssszwic      when(s2_ready) {
334b92f8445Sssszwic        next_state := m_idle
335b92f8445Sssszwic      }
336b92f8445Sssszwic    }
337b92f8445Sssszwic  }
338b92f8445Sssszwic
339b92f8445Sssszwic  when(s1_flush) {
340b92f8445Sssszwic    next_state := m_idle
341b92f8445Sssszwic  }
342b92f8445Sssszwic
343b92f8445Sssszwic  /** Stage 1 control */
344b92f8445Sssszwic  from_bpu_s1_flush := s1_valid && fromFtq.flushFromBpu.shouldFlushByStage3(s1_req_ftqIdx)
345b92f8445Sssszwic  s1_flush := io.flush || from_bpu_s1_flush
346b92f8445Sssszwic
347b92f8445Sssszwic  s1_ready      := next_state === m_idle
348b92f8445Sssszwic  s1_fire       := (next_state === m_idle) && s1_valid && !s1_flush
349b92f8445Sssszwic
350b92f8445Sssszwic  /**
351b92f8445Sssszwic    ******************************************************************************
352b92f8445Sssszwic    * IPrefetch Stage 2
353b92f8445Sssszwic    * - 1. Monitor the requests from missUnit to write to SRAM.
354b92f8445Sssszwic    * - 2. send req to missUnit
355b92f8445Sssszwic    ******************************************************************************
356b92f8445Sssszwic    */
357b92f8445Sssszwic  val s2_valid  = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B)
358b92f8445Sssszwic
359b92f8445Sssszwic  val s2_req_vaddr    = RegEnable(s1_req_vaddr, 0.U.asTypeOf(s1_req_vaddr), s1_fire)
360b92f8445Sssszwic  val s2_doubleline   = RegEnable(s1_doubleline, 0.U.asTypeOf(s1_doubleline), s1_fire)
361b92f8445Sssszwic  val s2_req_paddr    = RegEnable(s1_req_paddr, 0.U.asTypeOf(s1_req_paddr), s1_fire)
362b92f8445Sssszwic
363b92f8445Sssszwic  val s2_pmpExcp      = RegEnable(pmpExcp, 0.U.asTypeOf(pmpExcp), s1_fire)
364b92f8445Sssszwic  val s2_itlbExcp     = RegEnable(itlbExcp, 0.U.asTypeOf(itlbExcp), s1_fire)
365b92f8445Sssszwic  val s2_waymasks     = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_fire)
366b92f8445Sssszwic
367b92f8445Sssszwic  val s2_req_vSetIdx  = s2_req_vaddr.map(get_idx(_))
368b92f8445Sssszwic  val s2_req_ptags    = s2_req_paddr.map(get_phy_tag(_))
369b92f8445Sssszwic
370b92f8445Sssszwic  /**
371b92f8445Sssszwic    ******************************************************************************
372b92f8445Sssszwic    * Monitor the requests from missUnit to write to SRAM
373b92f8445Sssszwic    ******************************************************************************
374b92f8445Sssszwic    */
375*b808ac73Sxu_zh
376*b808ac73Sxu_zh  /* NOTE: If fromMSHR.bits.corrupt, we should set s2_MSHR_hits to false.B, and send prefetch requests again.
377*b808ac73Sxu_zh   * This is the opposite of how mainPipe handles fromMSHR.bits.corrupt,
378*b808ac73Sxu_zh   *   in which we should set s2_MSHR_hits to true.B, and send error to ifu.
379*b808ac73Sxu_zh   */
380*b808ac73Sxu_zh  val s2_MSHR_match = VecInit((0 until PortNumber).map(i =>
381*b808ac73Sxu_zh    (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) &&
382b92f8445Sssszwic    (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) &&
383*b808ac73Sxu_zh    s2_valid && fromMSHR.valid && !fromMSHR.bits.corrupt
384*b808ac73Sxu_zh  ))
385b92f8445Sssszwic  val s2_MSHR_hits = (0 until PortNumber).map(i => ValidHoldBypass(s2_MSHR_match(i), s2_fire || s2_flush))
386b92f8445Sssszwic
387*b808ac73Sxu_zh  val s2_SRAM_hits = s2_waymasks.map(_.orR)
388*b808ac73Sxu_zh  val s2_hits = VecInit((0 until PortNumber).map(i => s2_MSHR_hits(i) || s2_SRAM_hits(i)))
389*b808ac73Sxu_zh
390*b808ac73Sxu_zh  // pmpExcp includes access fault and mmio, neither of which should be prefetched
391*b808ac73Sxu_zh  // also, if port0 has exception, port1 should not be prefetched
392*b808ac73Sxu_zh  // miss = this port not hit && need this port && no exception found before and in this port
393*b808ac73Sxu_zh  val s2_miss = VecInit((0 until PortNumber).map { i =>
394*b808ac73Sxu_zh    !s2_hits(i) && (if (i==0) true.B else s2_doubleline) &&
395*b808ac73Sxu_zh      !s2_itlbExcp.take(i+1).reduce(_||_) && !s2_pmpExcp.take(i+1).reduce(_||_)
396*b808ac73Sxu_zh  })
397b92f8445Sssszwic
398b92f8445Sssszwic  /**
399b92f8445Sssszwic    ******************************************************************************
400b92f8445Sssszwic    * send req to missUnit
401b92f8445Sssszwic    ******************************************************************************
402b92f8445Sssszwic    */
403b92f8445Sssszwic  val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber))
404b92f8445Sssszwic
405b92f8445Sssszwic  // To avoid sending duplicate requests.
406*b808ac73Sxu_zh  val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
407b92f8445Sssszwic  (0 until PortNumber).foreach{ i =>
408b92f8445Sssszwic    when(s1_fire) {
409b92f8445Sssszwic      has_send(i) := false.B
410b92f8445Sssszwic    }.elsewhen(toMSHRArbiter.io.in(i).fire) {
411b92f8445Sssszwic      has_send(i) := true.B
412b92f8445Sssszwic    }
413b92f8445Sssszwic  }
414b92f8445Sssszwic
415b92f8445Sssszwic  (0 until PortNumber).map{ i =>
416b92f8445Sssszwic    toMSHRArbiter.io.in(i).valid          := s2_valid && s2_miss(i) && !has_send(i)
417b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.blkPaddr  := getBlkAddr(s2_req_paddr(i))
418b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.vSetIdx   := s2_req_vSetIdx(i)
419b92f8445Sssszwic  }
420b92f8445Sssszwic
421b92f8445Sssszwic  toMSHR <> toMSHRArbiter.io.out
422b92f8445Sssszwic
423b92f8445Sssszwic  s2_flush := io.flush
424b92f8445Sssszwic
425b92f8445Sssszwic  val s2_finish  = (0 until PortNumber).map(i => has_send(i) || !s2_miss(i) || toMSHRArbiter.io.in(i).fire).reduce(_&&_)
426b92f8445Sssszwic  s2_ready      := s2_finish || !s2_valid
427b92f8445Sssszwic  s2_fire       := s2_valid && s2_finish && !s2_flush
4289bba777eSssszwic
429cb6e5d3cSssszwic  /** PerfAccumulate */
430cb6e5d3cSssszwic  // the number of prefetch request received from ftq
431935edac4STang Haojin  XSPerfAccumulate("prefetch_req_receive", fromFtq.req.fire)
432b92f8445Sssszwic  // the number of prefetch request sent to missUnit
433b92f8445Sssszwic  XSPerfAccumulate("prefetch_req_send", toMSHR.fire)
434b92f8445Sssszwic  XSPerfAccumulate("to_missUnit_stall", toMSHR.valid && !toMSHR.ready)
435cb6e5d3cSssszwic  /**
436cb6e5d3cSssszwic    * Count the number of requests that are filtered for various reasons.
437cb6e5d3cSssszwic    * The number of prefetch discard in Performance Accumulator may be
438cb6e5d3cSssszwic    * a littel larger the number of really discarded. Because there can
439cb6e5d3cSssszwic    * be multiple reasons for a canceled request at the same time.
440cb6e5d3cSssszwic    */
441b92f8445Sssszwic  // discard prefetch request by flush
442b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_tlb_except",  p1_discard && p1_tlb_except)
443b92f8445Sssszwic  // // discard prefetch request by hit icache SRAM
444b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_hit_cache",   p2_discard && p1_meta_hit)
445b92f8445Sssszwic  // // discard prefetch request by hit wirte SRAM
446b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_p1_monoitor", p1_discard && p1_monitor_hit)
447b92f8445Sssszwic  // // discard prefetch request by pmp except or mmio
448b92f8445Sssszwic  // XSPerfAccumulate("fdip_prefetch_discard_by_pmp",         p2_discard && p2_pmp_except)
449b92f8445Sssszwic  // // discard prefetch request by hit mainPipe info
450b92f8445Sssszwic  // // XSPerfAccumulate("fdip_prefetch_discard_by_mainPipe",    p2_discard && p2_mainPipe_hit)
4517052722fSJay}