17052722fSJay/*************************************************************************************** 27052722fSJay * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 37052722fSJay * Copyright (c) 2020-2021 Peng Cheng Laboratory 47052722fSJay * 57052722fSJay * XiangShan is licensed under Mulan PSL v2. 67052722fSJay * You can use this software according to the terms and conditions of the Mulan PSL v2. 77052722fSJay * You may obtain a copy of Mulan PSL v2 at: 87052722fSJay * http://license.coscl.org.cn/MulanPSL2 97052722fSJay * 107052722fSJay * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 117052722fSJay * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 127052722fSJay * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 137052722fSJay * 147052722fSJay * See the Mulan PSL v2 for more details. 157052722fSJay ***************************************************************************************/ 167052722fSJay 177052722fSJaypackage xiangshan.frontend.icache 187052722fSJay 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 207052722fSJayimport chisel3._ 217052722fSJayimport chisel3.util._ 227d45a146SYinan Xuimport difftest._ 237052722fSJayimport freechips.rocketchip.tilelink._ 247052722fSJayimport utils._ 257052722fSJayimport xiangshan.cache.mmu._ 267052722fSJayimport xiangshan.frontend._ 27d2b20d1aSTang Haojinimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 28d2b20d1aSTang Haojinimport huancun.PreferCacheKey 29b92c5693STang Haojinimport xiangshan.XSCoreParamsKey 302c9f4a9fSxu_zhimport xiangshan.SoftIfetchPrefetchBundle 31b1ded4e8Sguohongyuimport utility._ 327052722fSJay 337052722fSJayabstract class IPrefetchBundle(implicit p: Parameters) extends ICacheBundle 347052722fSJayabstract class IPrefetchModule(implicit p: Parameters) extends ICacheModule 357052722fSJay 362c9f4a9fSxu_zhclass IPrefetchReq(implicit p: Parameters) extends IPrefetchBundle { 372c9f4a9fSxu_zh val startAddr : UInt = UInt(VAddrBits.W) 382c9f4a9fSxu_zh val nextlineStart : UInt = UInt(VAddrBits.W) 392c9f4a9fSxu_zh val ftqIdx : FtqPtr = new FtqPtr 402c9f4a9fSxu_zh val isSoftPrefetch: Bool = Bool() 412c9f4a9fSxu_zh def crossCacheline: Bool = startAddr(blockOffBits - 1) === 1.U 422c9f4a9fSxu_zh 432c9f4a9fSxu_zh def fromFtqICacheInfo(info: FtqICacheInfo): IPrefetchReq = { 442c9f4a9fSxu_zh this.startAddr := info.startAddr 452c9f4a9fSxu_zh this.nextlineStart := info.nextlineStart 462c9f4a9fSxu_zh this.ftqIdx := info.ftqIdx 472c9f4a9fSxu_zh this.isSoftPrefetch := false.B 482c9f4a9fSxu_zh this 492c9f4a9fSxu_zh } 502c9f4a9fSxu_zh 512c9f4a9fSxu_zh def fromSoftPrefetch(req: SoftIfetchPrefetchBundle): IPrefetchReq = { 522c9f4a9fSxu_zh this.startAddr := req.vaddr 532c9f4a9fSxu_zh this.nextlineStart := req.vaddr + (1 << blockOffBits).U 542c9f4a9fSxu_zh this.ftqIdx := DontCare 552c9f4a9fSxu_zh this.isSoftPrefetch := true.B 562c9f4a9fSxu_zh this 572c9f4a9fSxu_zh } 582c9f4a9fSxu_zh} 592c9f4a9fSxu_zh 6088895b11Sxu_zhclass IPrefetchIO(implicit p: Parameters) extends IPrefetchBundle { 61b92f8445Sssszwic // control 62b92f8445Sssszwic val csr_pf_enable = Input(Bool()) 63f80535c3Sxu_zh val csr_parity_enable = Input(Bool()) 64b92f8445Sssszwic val flush = Input(Bool()) 6558c354d0Sssszwic 662c9f4a9fSxu_zh val req = Flipped(Decoupled(new IPrefetchReq)) 672c9f4a9fSxu_zh val flushFromBpu = Flipped(new BpuFlushInfo) 68b92f8445Sssszwic val itlb = Vec(PortNumber, new TlbRequestIO) 69b92f8445Sssszwic val pmp = Vec(PortNumber, new ICachePMPBundle) 70b92f8445Sssszwic val metaRead = new ICacheMetaReqBundle 71b92f8445Sssszwic val MSHRReq = DecoupledIO(new ICacheMissReq) 72b92f8445Sssszwic val MSHRResp = Flipped(ValidIO(new ICacheMissResp)) 73b92f8445Sssszwic val wayLookupWrite = DecoupledIO(new WayLookupInfo) 747052722fSJay} 757052722fSJay 767052722fSJayclass IPrefetchPipe(implicit p: Parameters) extends IPrefetchModule 777052722fSJay{ 7888895b11Sxu_zh val io: IPrefetchIO = IO(new IPrefetchIO) 797052722fSJay 80b92f8445Sssszwic val (toITLB, fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp)) 81b92f8445Sssszwic val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 82b92f8445Sssszwic val (toMeta, fromMeta) = (io.metaRead.toIMeta, io.metaRead.fromIMeta) 83b92f8445Sssszwic val (toMSHR, fromMSHR) = (io.MSHRReq, io.MSHRResp) 84b92f8445Sssszwic val toWayLookup = io.wayLookupWrite 857052722fSJay 86b92f8445Sssszwic val s0_fire, s1_fire, s2_fire = WireInit(false.B) 87b92f8445Sssszwic val s0_discard, s2_discard = WireInit(false.B) 88b92f8445Sssszwic val s0_ready, s1_ready, s2_ready = WireInit(false.B) 89b92f8445Sssszwic val s0_flush, s1_flush, s2_flush = WireInit(false.B) 90b92f8445Sssszwic val from_bpu_s0_flush, from_bpu_s1_flush = WireInit(false.B) 917052722fSJay 92cb6e5d3cSssszwic /** 93cb6e5d3cSssszwic ****************************************************************************** 94cb6e5d3cSssszwic * IPrefetch Stage 0 95b92f8445Sssszwic * - 1. receive ftq req 96b92f8445Sssszwic * - 2. send req to ITLB 97b92f8445Sssszwic * - 3. send req to Meta SRAM 98cb6e5d3cSssszwic ****************************************************************************** 99cb6e5d3cSssszwic */ 1002c9f4a9fSxu_zh val s0_valid = io.req.valid 101cb6e5d3cSssszwic 102b92f8445Sssszwic /** 103b92f8445Sssszwic ****************************************************************************** 104b92f8445Sssszwic * receive ftq req 105b92f8445Sssszwic ****************************************************************************** 106b92f8445Sssszwic */ 1072c9f4a9fSxu_zh val s0_req_vaddr = VecInit(Seq(io.req.bits.startAddr, io.req.bits.nextlineStart)) 1082c9f4a9fSxu_zh val s0_req_ftqIdx = io.req.bits.ftqIdx 1092c9f4a9fSxu_zh val s0_isSoftPrefetch = io.req.bits.isSoftPrefetch 1102c9f4a9fSxu_zh val s0_doubleline = io.req.bits.crossCacheline 11188895b11Sxu_zh val s0_req_vSetIdx = s0_req_vaddr.map(get_idx) 1127052722fSJay 1132c9f4a9fSxu_zh from_bpu_s0_flush := !s0_isSoftPrefetch && (io.flushFromBpu.shouldFlushByStage2(s0_req_ftqIdx) || 1142c9f4a9fSxu_zh io.flushFromBpu.shouldFlushByStage3(s0_req_ftqIdx)) 115b92f8445Sssszwic s0_flush := io.flush || from_bpu_s0_flush || s1_flush 1167052722fSJay 117b92f8445Sssszwic val s0_can_go = s1_ready && toITLB(0).ready && toITLB(1).ready && toMeta.ready 1182c9f4a9fSxu_zh io.req.ready := s0_can_go 1197052722fSJay 120b92f8445Sssszwic s0_fire := s0_valid && s0_can_go && !s0_flush 121cb6e5d3cSssszwic 122cb6e5d3cSssszwic /** 123cb6e5d3cSssszwic ****************************************************************************** 124cb6e5d3cSssszwic * IPrefetch Stage 1 125b92f8445Sssszwic * - 1. Receive resp from ITLB 126b92f8445Sssszwic * - 2. Receive resp from IMeta and check 127b92f8445Sssszwic * - 3. Monitor the requests from missUnit to write to SRAM. 128b92f8445Sssszwic * - 4. Wirte wayLookup 129cb6e5d3cSssszwic ****************************************************************************** 130cb6e5d3cSssszwic */ 131b92f8445Sssszwic val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B) 132cb6e5d3cSssszwic 133b92f8445Sssszwic val s1_req_vaddr = RegEnable(s0_req_vaddr, 0.U.asTypeOf(s0_req_vaddr), s0_fire) 1342c9f4a9fSxu_zh val s1_isSoftPrefetch = RegEnable(s0_isSoftPrefetch, 0.U.asTypeOf(s0_isSoftPrefetch), s0_fire) 135b92f8445Sssszwic val s1_doubleline = RegEnable(s0_doubleline, 0.U.asTypeOf(s0_doubleline), s0_fire) 136b92f8445Sssszwic val s1_req_ftqIdx = RegEnable(s0_req_ftqIdx, 0.U.asTypeOf(s0_req_ftqIdx), s0_fire) 13788895b11Sxu_zh val s1_req_vSetIdx = VecInit(s1_req_vaddr.map(get_idx)) 1387052722fSJay 139b92f8445Sssszwic val m_idle :: m_itlbResend :: m_metaResend :: m_enqWay :: m_enterS2 :: Nil = Enum(5) 140b92f8445Sssszwic val state = RegInit(m_idle) 141b92f8445Sssszwic val next_state = WireDefault(state) 142b92f8445Sssszwic val s0_fire_r = RegNext(s0_fire) 143b92f8445Sssszwic dontTouch(state) 144b92f8445Sssszwic dontTouch(next_state) 145b92f8445Sssszwic state := next_state 1467052722fSJay 147b92f8445Sssszwic /** 148b92f8445Sssszwic ****************************************************************************** 149b92f8445Sssszwic * resend itlb req if miss 150b92f8445Sssszwic ****************************************************************************** 151b92f8445Sssszwic */ 152b92f8445Sssszwic val s1_wait_itlb = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 153b92f8445Sssszwic (0 until PortNumber).foreach { i => 154b92f8445Sssszwic when(s1_flush) { 155b92f8445Sssszwic s1_wait_itlb(i) := false.B 156b92f8445Sssszwic }.elsewhen(RegNext(s0_fire) && fromITLB(i).bits.miss) { 157b92f8445Sssszwic s1_wait_itlb(i) := true.B 158b92f8445Sssszwic }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) { 159b92f8445Sssszwic s1_wait_itlb(i) := false.B 160b92f8445Sssszwic } 161b92f8445Sssszwic } 162b92f8445Sssszwic val s1_need_itlb = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss, 163b92f8445Sssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_doubleline)) 164b92f8445Sssszwic val tlb_valid_pulse = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss, 165b92f8445Sssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_doubleline)) 166b92f8445Sssszwic val tlb_valid_latch = VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_pulse(i), s1_fire, flush=s1_flush))) 167b92f8445Sssszwic val itlb_finish = tlb_valid_latch(0) && (!s1_doubleline || tlb_valid_latch(1)) 1687052722fSJay 169b92f8445Sssszwic for (i <- 0 until PortNumber) { 170b92f8445Sssszwic toITLB(i).valid := s1_need_itlb(i) || (s0_valid && (if(i == 0) true.B else s0_doubleline)) 171b92f8445Sssszwic toITLB(i).bits := DontCare 172b92f8445Sssszwic toITLB(i).bits.size := 3.U 173b92f8445Sssszwic toITLB(i).bits.vaddr := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i)) 174b92f8445Sssszwic toITLB(i).bits.debug.pc := Mux(s1_need_itlb(i), s1_req_vaddr(i), s0_req_vaddr(i)) 175b92f8445Sssszwic toITLB(i).bits.cmd := TlbCmd.exec 176b92f8445Sssszwic toITLB(i).bits.no_translate := false.B 177b92f8445Sssszwic } 178b92f8445Sssszwic fromITLB.foreach(_.ready := true.B) 179b92f8445Sssszwic io.itlb.foreach(_.req_kill := false.B) 1807052722fSJay 181b92f8445Sssszwic /** 182b92f8445Sssszwic ****************************************************************************** 183b92f8445Sssszwic * Receive resp from ITLB 184b92f8445Sssszwic ****************************************************************************** 185b92f8445Sssszwic */ 186b92f8445Sssszwic val s1_req_paddr_wire = VecInit(fromITLB.map(_.bits.paddr(0))) 187b92f8445Sssszwic val s1_req_paddr_reg = VecInit((0 until PortNumber).map( i => 18888895b11Sxu_zh RegEnable(s1_req_paddr_wire(i), 0.U(PAddrBits.W), tlb_valid_pulse(i)) 18988895b11Sxu_zh )) 190b92f8445Sssszwic val s1_req_paddr = VecInit((0 until PortNumber).map( i => 19188895b11Sxu_zh Mux(tlb_valid_pulse(i), s1_req_paddr_wire(i), s1_req_paddr_reg(i)) 19288895b11Sxu_zh )) 19391946104Sxu_zh val s1_req_gpaddr_tmp = VecInit((0 until PortNumber).map( i => 19488895b11Sxu_zh ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.gpaddr(0)), data = fromITLB(i).bits.gpaddr(0)) 19588895b11Sxu_zh )) 196ad415ae0SXiaokun-Pei val s1_req_isForVSnonLeafPTE_tmp = VecInit((0 until PortNumber).map( i => 197ad415ae0SXiaokun-Pei ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.isForVSnonLeafPTE), data = fromITLB(i).bits.isForVSnonLeafPTE) 198ad415ae0SXiaokun-Pei )) 19988895b11Sxu_zh val s1_itlb_exception = VecInit((0 until PortNumber).map( i => 20088895b11Sxu_zh ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U(ExceptionType.width.W), data = ExceptionType.fromTlbResp(fromITLB(i).bits)) 20188895b11Sxu_zh )) 202002c10a4SYanqin Li val s1_itlb_pbmt = VecInit((0 until PortNumber).map( i => 203002c10a4SYanqin Li ResultHoldBypass(valid = tlb_valid_pulse(i), init = 0.U.asTypeOf(fromITLB(i).bits.pbmt(0)), data = fromITLB(i).bits.pbmt(0)) 204002c10a4SYanqin Li )) 20588895b11Sxu_zh val s1_itlb_exception_gpf = VecInit(s1_itlb_exception.map(_ === ExceptionType.gpf)) 206b92f8445Sssszwic 20791946104Sxu_zh /* Select gpaddr with the first gpf 20891946104Sxu_zh * Note: the backend wants the base guest physical address of a fetch block 20991946104Sxu_zh * for port(i), its base gpaddr is actually (gpaddr - i * blocksize) 21091946104Sxu_zh * see GPAMem: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/backend/GPAMem.scala#L33-L34 21191946104Sxu_zh * see also: https://github.com/OpenXiangShan/XiangShan/blob/344cf5d55568dd40cd658a9ee66047a505eeb504/src/main/scala/xiangshan/frontend/IFU.scala#L374-L375 21291946104Sxu_zh */ 21391946104Sxu_zh val s1_req_gpaddr = PriorityMuxDefault( 21488895b11Sxu_zh s1_itlb_exception_gpf zip (0 until PortNumber).map(i => s1_req_gpaddr_tmp(i) - (i << blockOffBits).U), 21591946104Sxu_zh 0.U.asTypeOf(s1_req_gpaddr_tmp(0)) 21691946104Sxu_zh ) 21791946104Sxu_zh 218ad415ae0SXiaokun-Pei val s1_req_isForVSnonLeafPTE = PriorityMuxDefault( 219ad415ae0SXiaokun-Pei s1_itlb_exception_gpf zip s1_req_isForVSnonLeafPTE_tmp, 220ad415ae0SXiaokun-Pei 0.U.asTypeOf(s1_req_isForVSnonLeafPTE_tmp(0)) 221ad415ae0SXiaokun-Pei ) 222ad415ae0SXiaokun-Pei 223b92f8445Sssszwic /** 224b92f8445Sssszwic ****************************************************************************** 225b92f8445Sssszwic * resend metaArray read req when itlb miss finish 226b92f8445Sssszwic ****************************************************************************** 227b92f8445Sssszwic */ 228b92f8445Sssszwic val s1_need_meta = ((state === m_itlbResend) && itlb_finish) || (state === m_metaResend) 229b92f8445Sssszwic toMeta.valid := s1_need_meta || s0_valid 230b92f8445Sssszwic toMeta.bits := DontCare 231b92f8445Sssszwic toMeta.bits.isDoubleLine := Mux(s1_need_meta, s1_doubleline, s0_doubleline) 232b92f8445Sssszwic 233b92f8445Sssszwic for (i <- 0 until PortNumber) { 234b92f8445Sssszwic toMeta.bits.vSetIdx(i) := Mux(s1_need_meta, s1_req_vSetIdx(i), s0_req_vSetIdx(i)) 235cb6e5d3cSssszwic } 236cb6e5d3cSssszwic 237cb6e5d3cSssszwic /** 238cb6e5d3cSssszwic ****************************************************************************** 239b92f8445Sssszwic * Receive resp from IMeta and check 240cb6e5d3cSssszwic ****************************************************************************** 241cb6e5d3cSssszwic */ 24288895b11Sxu_zh val s1_req_ptags = VecInit(s1_req_paddr.map(get_phy_tag)) 243cb6e5d3cSssszwic 244b92f8445Sssszwic val s1_meta_ptags = fromMeta.tags 245b92f8445Sssszwic val s1_meta_valids = fromMeta.entryValid 2469bba777eSssszwic 247b92f8445Sssszwic def get_waymask(paddrs: Vec[UInt]): Vec[UInt] = { 24888895b11Sxu_zh val ptags = paddrs.map(get_phy_tag) 249b92f8445Sssszwic val tag_eq_vec = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w => s1_meta_ptags(p)(w) === ptags(p))))) 250b92f8445Sssszwic val tag_match_vec = VecInit((0 until PortNumber).map( k => VecInit(tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w)}))) 251b92f8445Sssszwic val waymasks = VecInit(tag_match_vec.map(_.asUInt)) 252b92f8445Sssszwic waymasks 253cb6e5d3cSssszwic } 2549bba777eSssszwic 2555ce94708Sxu_zh val s1_SRAM_waymasks = VecInit((0 until PortNumber).map { port => 2565ce94708Sxu_zh Mux(tlb_valid_pulse(port), get_waymask(s1_req_paddr_wire)(port), get_waymask(s1_req_paddr_reg)(port)) 2575ce94708Sxu_zh }) 258b92f8445Sssszwic 2598966a895Sxu_zh // select ecc code 2608966a895Sxu_zh /* NOTE: 2618966a895Sxu_zh * When ECC check fails, s1_waymasks may be corrupted, so this selected meta_codes may be wrong. 2628966a895Sxu_zh * However, we can guarantee that the request sent to the l2 cache and the response to the IFU are both correct, 2638966a895Sxu_zh * considering the probability of bit flipping abnormally is very small, consider there's up to 1 bit being wrong: 2648966a895Sxu_zh * 1. miss -> fake hit: The wrong bit in s1_waymasks was set to true.B, thus selects the wrong meta_codes, 2658966a895Sxu_zh * but we can detect this by checking whether `encodeMetaECC(req_ptags) === meta_codes`. 2668966a895Sxu_zh * 2. hit -> fake multi-hit: In normal situation, multi-hit never happens, so multi-hit indicates ECC failure, 2678966a895Sxu_zh * we can detect this by checking whether `PopCount(waymasks) <= 1.U`, 2688966a895Sxu_zh * and meta_codes is not important in this situation. 2698966a895Sxu_zh * 3. hit -> fake miss: We can't detect this, but we can (pre)fetch the correct data from L2 cache, so it's not a problem. 2708966a895Sxu_zh * 4. hit -> hit / miss -> miss: ECC failure happens in a irrelevant way, so we don't care about it this time. 2718966a895Sxu_zh */ 2725ce94708Sxu_zh val s1_SRAM_meta_codes = VecInit((0 until PortNumber).map { port => 2735ce94708Sxu_zh Mux1H(s1_SRAM_waymasks(port), fromMeta.codes(port)) 2748966a895Sxu_zh }) 2758966a895Sxu_zh 276b92f8445Sssszwic /** 277b92f8445Sssszwic ****************************************************************************** 2785ce94708Sxu_zh * update waymasks and meta_codes according to MSHR update data 2795ce94708Sxu_zh ****************************************************************************** 2805ce94708Sxu_zh */ 2815ce94708Sxu_zh def update_meta_info(mask: UInt, vSetIdx: UInt, ptag: UInt, code: UInt): Tuple2[UInt, UInt] = { 2825ce94708Sxu_zh require(mask.getWidth == nWays) 2835ce94708Sxu_zh val new_mask = WireInit(mask) 2845ce94708Sxu_zh val new_code = WireInit(code) 2855ce94708Sxu_zh val valid = fromMSHR.valid && !fromMSHR.bits.corrupt 2865ce94708Sxu_zh val vset_same = fromMSHR.bits.vSetIdx === vSetIdx 2875ce94708Sxu_zh val ptag_same = getPhyTagFromBlk(fromMSHR.bits.blkPaddr) === ptag 2885ce94708Sxu_zh val way_same = fromMSHR.bits.waymask === mask 2895ce94708Sxu_zh when(valid && vset_same) { 2905ce94708Sxu_zh when(ptag_same) { 2915ce94708Sxu_zh new_mask := fromMSHR.bits.waymask 2925ce94708Sxu_zh // also update meta_codes 2935ce94708Sxu_zh // we have getPhyTagFromBlk(fromMSHR.bits.blkPaddr) === ptag, so we can use ptag directly for better timing 2945ce94708Sxu_zh new_code := encodeMetaECC(ptag) 2955ce94708Sxu_zh }.elsewhen(way_same) { 2965ce94708Sxu_zh new_mask := 0.U 2975ce94708Sxu_zh // we dont care about new_code, since it's not used for a missed request 2985ce94708Sxu_zh } 2995ce94708Sxu_zh } 3005ce94708Sxu_zh (new_mask, new_code) 3015ce94708Sxu_zh } 3025ce94708Sxu_zh 3035ce94708Sxu_zh val s1_SRAM_valid = s0_fire_r || RegNext(s1_need_meta && toMeta.ready) 3045ce94708Sxu_zh val s1_MSHR_valid = fromMSHR.valid && !fromMSHR.bits.corrupt 3055ce94708Sxu_zh val s1_waymasks = WireInit(VecInit(Seq.fill(PortNumber)(0.U(nWays.W)))) 3065ce94708Sxu_zh val s1_waymasks_r = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_SRAM_valid || s1_MSHR_valid) 3075ce94708Sxu_zh val s1_meta_codes = WireInit(VecInit(Seq.fill(PortNumber)(0.U(ICacheMetaCodeBits.W)))) 3085ce94708Sxu_zh val s1_meta_codes_r = RegEnable(s1_meta_codes, 0.U.asTypeOf(s1_meta_codes), s1_SRAM_valid || s1_MSHR_valid) 3095ce94708Sxu_zh 3105ce94708Sxu_zh // update waymasks and meta_codes 3115ce94708Sxu_zh (0 until PortNumber).foreach{i => 3125ce94708Sxu_zh val old_waymask = Mux(s1_SRAM_valid, s1_SRAM_waymasks(i), s1_waymasks_r(i)) 3135ce94708Sxu_zh val old_meta_codes = Mux(s1_SRAM_valid, s1_SRAM_meta_codes(i), s1_meta_codes_r(i)) 3145ce94708Sxu_zh val new_info = update_meta_info(old_waymask, s1_req_vSetIdx(i), s1_req_ptags(i), old_meta_codes) 3155ce94708Sxu_zh s1_waymasks(i) := new_info._1 3165ce94708Sxu_zh s1_meta_codes(i) := new_info._2 3175ce94708Sxu_zh } 3185ce94708Sxu_zh 3195ce94708Sxu_zh /** 3205ce94708Sxu_zh ****************************************************************************** 321b92f8445Sssszwic * send enqueu req to WayLookup 322b92f8445Sssszwic ******** ********************************************************************** 323b92f8445Sssszwic */ 324b92f8445Sssszwic // Disallow enqueuing wayLookup when SRAM write occurs. 3252c9f4a9fSxu_zh toWayLookup.valid := ((state === m_enqWay) || ((state === m_idle) && itlb_finish)) && 3262c9f4a9fSxu_zh !s1_flush && !fromMSHR.valid && !s1_isSoftPrefetch // do not enqueue soft prefetch 327b92f8445Sssszwic toWayLookup.bits.vSetIdx := s1_req_vSetIdx 328b92f8445Sssszwic toWayLookup.bits.waymask := s1_waymasks 329b92f8445Sssszwic toWayLookup.bits.ptag := s1_req_ptags 330b92f8445Sssszwic toWayLookup.bits.gpaddr := s1_req_gpaddr 331ad415ae0SXiaokun-Pei toWayLookup.bits.isForVSnonLeafPTE := s1_req_isForVSnonLeafPTE 3328966a895Sxu_zh toWayLookup.bits.meta_codes := s1_meta_codes 3331a5af821Sxu_zh (0 until PortNumber).foreach { i => 3341a5af821Sxu_zh val excpValid = (if (i == 0) true.B else s1_doubleline) // exception in first line is always valid, in second line is valid iff is doubleline request 33588895b11Sxu_zh // Send s1_itlb_exception to WayLookup (instead of s1_exception_out) for better timing. Will check pmp again in mainPipe 33688895b11Sxu_zh toWayLookup.bits.itlb_exception(i) := Mux(excpValid, s1_itlb_exception(i), ExceptionType.none) 337002c10a4SYanqin Li toWayLookup.bits.itlb_pbmt(i) := Mux(excpValid, s1_itlb_pbmt(i), Pbmt.pma) 3381a5af821Sxu_zh } 339b92f8445Sssszwic 340b92f8445Sssszwic val s1_waymasks_vec = s1_waymasks.map(_.asTypeOf(Vec(nWays, Bool()))) 341b92f8445Sssszwic when(toWayLookup.fire) { 342b92f8445Sssszwic assert(PopCount(s1_waymasks_vec(0)) <= 1.U && (PopCount(s1_waymasks_vec(1)) <= 1.U || !s1_doubleline), 343b92f8445Sssszwic "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ", 344b92f8445Sssszwic PopCount(s1_waymasks_vec(0)) > 1.U, s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0), 345b92f8445Sssszwic PopCount(s1_waymasks_vec(1)) > 1.U && s1_doubleline, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1)) 346b92f8445Sssszwic } 347b92f8445Sssszwic 348b92f8445Sssszwic /** 349b92f8445Sssszwic ****************************************************************************** 350b92f8445Sssszwic * PMP check 351b92f8445Sssszwic ****************************************************************************** 352b92f8445Sssszwic */ 35388895b11Sxu_zh toPMP.zipWithIndex.foreach { case (p, i) => 35488895b11Sxu_zh // if itlb has exception, paddr can be invalid, therefore pmp check can be skipped 35588895b11Sxu_zh p.valid := s1_valid // && s1_itlb_exception === ExceptionType.none 356b92f8445Sssszwic p.bits.addr := s1_req_paddr(i) 357b92f8445Sssszwic p.bits.size := 3.U // TODO 358b92f8445Sssszwic p.bits.cmd := TlbCmd.exec 359b92f8445Sssszwic } 36088895b11Sxu_zh val s1_pmp_exception = VecInit(fromPMP.map(ExceptionType.fromPMPResp)) 361002c10a4SYanqin Li val s1_pmp_mmio = VecInit(fromPMP.map(_.mmio)) 36288895b11Sxu_zh 3638966a895Sxu_zh // merge s1 itlb/pmp exceptions, itlb has the highest priority, pmp next 3648966a895Sxu_zh // for timing consideration, meta_corrupt is not merged, and it will NOT cancel prefetch 365f80535c3Sxu_zh val s1_exception_out = ExceptionType.merge( 366f80535c3Sxu_zh s1_itlb_exception, 3678966a895Sxu_zh s1_pmp_exception 368f80535c3Sxu_zh ) 369b92f8445Sssszwic 370002c10a4SYanqin Li // merge pmp mmio and itlb pbmt 371002c10a4SYanqin Li val s1_mmio = VecInit((s1_pmp_mmio zip s1_itlb_pbmt).map{ case (mmio, pbmt) => 372002c10a4SYanqin Li mmio || Pbmt.isUncache(pbmt) 373002c10a4SYanqin Li }) 374002c10a4SYanqin Li 375b92f8445Sssszwic /** 376b92f8445Sssszwic ****************************************************************************** 377b92f8445Sssszwic * state machine 378b92f8445Sssszwic ******** ********************************************************************** 379b92f8445Sssszwic */ 380b92f8445Sssszwic 381b92f8445Sssszwic switch(state) { 382b92f8445Sssszwic is(m_idle) { 3832c9f4a9fSxu_zh when(s1_valid) { 3842c9f4a9fSxu_zh when(!itlb_finish) { 385b92f8445Sssszwic next_state := m_itlbResend 3868c57174eSxu_zh }.elsewhen(!toWayLookup.fire) { // itlb_finish 387b92f8445Sssszwic next_state := m_enqWay 3888c57174eSxu_zh }.elsewhen(!s2_ready) { // itlb_finish && toWayLookup.fire 389b92f8445Sssszwic next_state := m_enterS2 3902c9f4a9fSxu_zh } // .otherwise { next_state := m_idle } 3912c9f4a9fSxu_zh } // .otherwise { next_state := m_idle } // !s1_valid 392b92f8445Sssszwic } 393b92f8445Sssszwic is(m_itlbResend) { 3942c9f4a9fSxu_zh when(itlb_finish) { 3952c9f4a9fSxu_zh when(!toMeta.ready) { 396b92f8445Sssszwic next_state := m_metaResend 3978c57174eSxu_zh }.otherwise { // toMeta.ready 398b92f8445Sssszwic next_state := m_enqWay 399b92f8445Sssszwic } 4002c9f4a9fSxu_zh } // .otherwise { next_state := m_itlbResend } // !itlb_finish 401b92f8445Sssszwic } 402b92f8445Sssszwic is(m_metaResend) { 403b92f8445Sssszwic when(toMeta.ready) { 404b92f8445Sssszwic next_state := m_enqWay 4052c9f4a9fSxu_zh } // .otherwise { next_state := m_metaResend } // !toMeta.ready 406b92f8445Sssszwic } 407b92f8445Sssszwic is(m_enqWay) { 4088c57174eSxu_zh when(toWayLookup.fire || s1_isSoftPrefetch) { 4098c57174eSxu_zh when (!s2_ready) { 410b92f8445Sssszwic next_state := m_enterS2 4118c57174eSxu_zh }.otherwise { // s2_ready 412b92f8445Sssszwic next_state := m_idle 413b92f8445Sssszwic } 4148c57174eSxu_zh } // .otherwise { next_state := m_enqWay } 415b92f8445Sssszwic } 416b92f8445Sssszwic is(m_enterS2) { 417b92f8445Sssszwic when(s2_ready) { 418b92f8445Sssszwic next_state := m_idle 419b92f8445Sssszwic } 420b92f8445Sssszwic } 421b92f8445Sssszwic } 422b92f8445Sssszwic 423b92f8445Sssszwic when(s1_flush) { 424b92f8445Sssszwic next_state := m_idle 425b92f8445Sssszwic } 426b92f8445Sssszwic 427b92f8445Sssszwic /** Stage 1 control */ 4282c9f4a9fSxu_zh from_bpu_s1_flush := s1_valid && !s1_isSoftPrefetch && io.flushFromBpu.shouldFlushByStage3(s1_req_ftqIdx) 429b92f8445Sssszwic s1_flush := io.flush || from_bpu_s1_flush 430b92f8445Sssszwic 431b92f8445Sssszwic s1_ready := next_state === m_idle 432400391a3Sxu_zh s1_fire := (next_state === m_idle) && s1_valid && !s1_flush // used to clear s1_valid & itlb_valid_latch 433400391a3Sxu_zh val s1_real_fire = s1_fire && io.csr_pf_enable // real "s1 fire" that s1 enters s2 434b92f8445Sssszwic 435b92f8445Sssszwic /** 436b92f8445Sssszwic ****************************************************************************** 437b92f8445Sssszwic * IPrefetch Stage 2 438b92f8445Sssszwic * - 1. Monitor the requests from missUnit to write to SRAM. 439b92f8445Sssszwic * - 2. send req to missUnit 440b92f8445Sssszwic ****************************************************************************** 441b92f8445Sssszwic */ 442400391a3Sxu_zh val s2_valid = generatePipeControl(lastFire = s1_real_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B) 443b92f8445Sssszwic 444400391a3Sxu_zh val s2_req_vaddr = RegEnable(s1_req_vaddr, 0.U.asTypeOf(s1_req_vaddr), s1_real_fire) 4452c9f4a9fSxu_zh val s2_isSoftPrefetch = RegEnable(s1_isSoftPrefetch, 0.U.asTypeOf(s1_isSoftPrefetch), s1_real_fire) 446400391a3Sxu_zh val s2_doubleline = RegEnable(s1_doubleline, 0.U.asTypeOf(s1_doubleline), s1_real_fire) 447400391a3Sxu_zh val s2_req_paddr = RegEnable(s1_req_paddr, 0.U.asTypeOf(s1_req_paddr), s1_real_fire) 4488966a895Sxu_zh val s2_exception = RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_real_fire) // includes itlb/pmp exception 4498966a895Sxu_zh// val s2_exception_in = RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_real_fire) // disabled for timing consideration 450400391a3Sxu_zh val s2_mmio = RegEnable(s1_mmio, 0.U.asTypeOf(s1_mmio), s1_real_fire) 451400391a3Sxu_zh val s2_waymasks = RegEnable(s1_waymasks, 0.U.asTypeOf(s1_waymasks), s1_real_fire) 4528966a895Sxu_zh// val s2_meta_codes = RegEnable(s1_meta_codes, 0.U.asTypeOf(s1_meta_codes), s1_real_fire) // disabled for timing consideration 453b92f8445Sssszwic 45488895b11Sxu_zh val s2_req_vSetIdx = s2_req_vaddr.map(get_idx) 45588895b11Sxu_zh val s2_req_ptags = s2_req_paddr.map(get_phy_tag) 456b92f8445Sssszwic 4578966a895Sxu_zh // disabled for timing consideration 4588966a895Sxu_zh// // do metaArray ECC check 4598966a895Sxu_zh// val s2_meta_corrupt = VecInit((s2_req_ptags zip s2_meta_codes zip s2_waymasks).map{ case ((meta, code), waymask) => 4608966a895Sxu_zh// val hit_num = PopCount(waymask) 4618966a895Sxu_zh// // NOTE: if not hit, encodeMetaECC(meta) =/= code can also be true, but we don't care about it 4628966a895Sxu_zh// (encodeMetaECC(meta) =/= code && hit_num === 1.U) || // hit one way, but parity code does not match, ECC failure 4638966a895Sxu_zh// hit_num > 1.U // hit multi way, must be a ECC failure 4648966a895Sxu_zh// }) 4658966a895Sxu_zh// 4668966a895Sxu_zh// // generate exception 4678966a895Sxu_zh// val s2_meta_exception = VecInit(s2_meta_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _))) 4688966a895Sxu_zh// 4698966a895Sxu_zh// // merge meta exception and itlb/pmp exception 4708966a895Sxu_zh// val s2_exception = ExceptionType.merge(s2_exception_in, s2_meta_exception) 4718966a895Sxu_zh 472b92f8445Sssszwic /** 473b92f8445Sssszwic ****************************************************************************** 474b92f8445Sssszwic * Monitor the requests from missUnit to write to SRAM 475b92f8445Sssszwic ****************************************************************************** 476b92f8445Sssszwic */ 477b808ac73Sxu_zh 478b808ac73Sxu_zh /* NOTE: If fromMSHR.bits.corrupt, we should set s2_MSHR_hits to false.B, and send prefetch requests again. 479b808ac73Sxu_zh * This is the opposite of how mainPipe handles fromMSHR.bits.corrupt, 480b808ac73Sxu_zh * in which we should set s2_MSHR_hits to true.B, and send error to ifu. 481b808ac73Sxu_zh */ 482b808ac73Sxu_zh val s2_MSHR_match = VecInit((0 until PortNumber).map(i => 483b808ac73Sxu_zh (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) && 484b92f8445Sssszwic (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) && 485b808ac73Sxu_zh s2_valid && fromMSHR.valid && !fromMSHR.bits.corrupt 486b808ac73Sxu_zh )) 487b92f8445Sssszwic val s2_MSHR_hits = (0 until PortNumber).map(i => ValidHoldBypass(s2_MSHR_match(i), s2_fire || s2_flush)) 488b92f8445Sssszwic 489b808ac73Sxu_zh val s2_SRAM_hits = s2_waymasks.map(_.orR) 490b808ac73Sxu_zh val s2_hits = VecInit((0 until PortNumber).map(i => s2_MSHR_hits(i) || s2_SRAM_hits(i))) 491b808ac73Sxu_zh 492f80535c3Sxu_zh /* s2_exception includes itlb pf/gpf/af, pmp af and meta corruption (af), neither of which should be prefetched 49388895b11Sxu_zh * mmio should not be prefetched 494f80535c3Sxu_zh * also, if previous has exception, latter port should also not be prefetched 49588895b11Sxu_zh */ 496b808ac73Sxu_zh val s2_miss = VecInit((0 until PortNumber).map { i => 497b808ac73Sxu_zh !s2_hits(i) && (if (i==0) true.B else s2_doubleline) && 49888895b11Sxu_zh s2_exception.take(i+1).map(_ === ExceptionType.none).reduce(_&&_) && 49988895b11Sxu_zh s2_mmio.take(i+1).map(!_).reduce(_&&_) 500b808ac73Sxu_zh }) 501b92f8445Sssszwic 502b92f8445Sssszwic /** 503b92f8445Sssszwic ****************************************************************************** 504b92f8445Sssszwic * send req to missUnit 505b92f8445Sssszwic ****************************************************************************** 506b92f8445Sssszwic */ 507b92f8445Sssszwic val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber)) 508b92f8445Sssszwic 509b92f8445Sssszwic // To avoid sending duplicate requests. 510b808ac73Sxu_zh val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 511b92f8445Sssszwic (0 until PortNumber).foreach{ i => 512400391a3Sxu_zh when(s1_real_fire) { 513b92f8445Sssszwic has_send(i) := false.B 514b92f8445Sssszwic }.elsewhen(toMSHRArbiter.io.in(i).fire) { 515b92f8445Sssszwic has_send(i) := true.B 516b92f8445Sssszwic } 517b92f8445Sssszwic } 518b92f8445Sssszwic 519b92f8445Sssszwic (0 until PortNumber).map{ i => 520b92f8445Sssszwic toMSHRArbiter.io.in(i).valid := s2_valid && s2_miss(i) && !has_send(i) 521b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.blkPaddr := getBlkAddr(s2_req_paddr(i)) 522b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.vSetIdx := s2_req_vSetIdx(i) 523b92f8445Sssszwic } 524b92f8445Sssszwic 525b92f8445Sssszwic toMSHR <> toMSHRArbiter.io.out 526b92f8445Sssszwic 527b92f8445Sssszwic s2_flush := io.flush 528b92f8445Sssszwic 529*2196d1caSxu_zh // toMSHRArbiter.io.in(i).fire is not used here for timing consideration 530*2196d1caSxu_zh // val s2_finish = (0 until PortNumber).map(i => has_send(i) || !s2_miss(i) || toMSHRArbiter.io.in(i).fire).reduce(_&&_) 531*2196d1caSxu_zh val s2_finish = (0 until PortNumber).map(i => has_send(i) || !s2_miss(i)).reduce(_&&_) 532b92f8445Sssszwic s2_ready := s2_finish || !s2_valid 533b92f8445Sssszwic s2_fire := s2_valid && s2_finish && !s2_flush 5349bba777eSssszwic 535cb6e5d3cSssszwic /** PerfAccumulate */ 5362c9f4a9fSxu_zh // the number of bpu flush 5372c9f4a9fSxu_zh XSPerfAccumulate("bpu_s0_flush", from_bpu_s0_flush) 5382c9f4a9fSxu_zh XSPerfAccumulate("bpu_s1_flush", from_bpu_s1_flush) 5392c9f4a9fSxu_zh // the number of prefetch request received from ftq or backend (software prefetch) 5402c9f4a9fSxu_zh// XSPerfAccumulate("prefetch_req_receive", io.req.fire) 5412c9f4a9fSxu_zh XSPerfAccumulate("prefetch_req_receive_hw", io.req.fire && !io.req.bits.isSoftPrefetch) 5422c9f4a9fSxu_zh XSPerfAccumulate("prefetch_req_receive_sw", io.req.fire && io.req.bits.isSoftPrefetch) 543b92f8445Sssszwic // the number of prefetch request sent to missUnit 5442c9f4a9fSxu_zh// XSPerfAccumulate("prefetch_req_send", toMSHR.fire) 5452c9f4a9fSxu_zh XSPerfAccumulate("prefetch_req_send_hw", toMSHR.fire && !s2_isSoftPrefetch) 5462c9f4a9fSxu_zh XSPerfAccumulate("prefetch_req_send_sw", toMSHR.fire && s2_isSoftPrefetch) 547b92f8445Sssszwic XSPerfAccumulate("to_missUnit_stall", toMSHR.valid && !toMSHR.ready) 548cb6e5d3cSssszwic /** 549cb6e5d3cSssszwic * Count the number of requests that are filtered for various reasons. 550cb6e5d3cSssszwic * The number of prefetch discard in Performance Accumulator may be 551cb6e5d3cSssszwic * a littel larger the number of really discarded. Because there can 552cb6e5d3cSssszwic * be multiple reasons for a canceled request at the same time. 553cb6e5d3cSssszwic */ 554b92f8445Sssszwic // discard prefetch request by flush 555b92f8445Sssszwic // XSPerfAccumulate("fdip_prefetch_discard_by_tlb_except", p1_discard && p1_tlb_except) 556b92f8445Sssszwic // // discard prefetch request by hit icache SRAM 557b92f8445Sssszwic // XSPerfAccumulate("fdip_prefetch_discard_by_hit_cache", p2_discard && p1_meta_hit) 558b92f8445Sssszwic // // discard prefetch request by hit wirte SRAM 559b92f8445Sssszwic // XSPerfAccumulate("fdip_prefetch_discard_by_p1_monoitor", p1_discard && p1_monitor_hit) 560b92f8445Sssszwic // // discard prefetch request by pmp except or mmio 561b92f8445Sssszwic // XSPerfAccumulate("fdip_prefetch_discard_by_pmp", p2_discard && p2_pmp_except) 562b92f8445Sssszwic // // discard prefetch request by hit mainPipe info 563b92f8445Sssszwic // // XSPerfAccumulate("fdip_prefetch_discard_by_mainPipe", p2_discard && p2_mainPipe_hit) 5647052722fSJay}