xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICacheMainPipe.scala (revision f80535c3dab17c3dc62a4808e412d7501fa6c75b)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage xiangshan.frontend.icache
181d8f4dcbSJay
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
201d8f4dcbSJayimport chisel3._
211d8f4dcbSJayimport chisel3.util._
227d45a146SYinan Xuimport difftest._
231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates
241d8f4dcbSJayimport xiangshan._
251d8f4dcbSJayimport xiangshan.cache.mmu._
261d8f4dcbSJayimport utils._
273c02ee8fSwakafaimport utility._
281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
2988895b11Sxu_zhimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle, ExceptionType}
301d8f4dcbSJay
311d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle
321d8f4dcbSJay{
331d8f4dcbSJay  val vaddr  = UInt(VAddrBits.W)
34b92f8445Sssszwic  def vSetIdx = get_idx(vaddr)
351d8f4dcbSJay}
361d8f4dcbSJay
371d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle
381d8f4dcbSJay{
391d8f4dcbSJay  val vaddr    = UInt(VAddrBits.W)
40b92f8445Sssszwic  val data     = UInt((blockBits).W)
411d8f4dcbSJay  val paddr    = UInt(PAddrBits.W)
42d0de7e4aSpeixiaokun  val gpaddr    = UInt(GPAddrBits.W)
4388895b11Sxu_zh  val exception = UInt(ExceptionType.width.W)
441d8f4dcbSJay  val mmio      = Bool()
451d8f4dcbSJay}
461d8f4dcbSJay
471d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle
481d8f4dcbSJay{
49c5c5edaeSJenius  val req  = Flipped(Decoupled(new FtqToICacheRequestBundle))
50c5c5edaeSJenius  val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp))
51d2b20d1aSTang Haojin  val topdownIcacheMiss = Output(Bool())
52d2b20d1aSTang Haojin  val topdownItlbMiss = Output(Bool())
531d8f4dcbSJay}
541d8f4dcbSJay
551d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{
56afed18b5SJenius  val toIMeta       = DecoupledIO(new ICacheReadBundle)
571d8f4dcbSJay  val fromIMeta     = Input(new ICacheMetaRespBundle)
581d8f4dcbSJay}
591d8f4dcbSJay
601d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{
61b92f8445Sssszwic  val toIData       = Vec(partWayNum, DecoupledIO(new ICacheReadBundle))
621d8f4dcbSJay  val fromIData     = Input(new ICacheDataRespBundle)
631d8f4dcbSJay}
641d8f4dcbSJay
651d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{
66b92f8445Sssszwic  val req   = Decoupled(new ICacheMissReq)
67b92f8445Sssszwic  val resp  = Flipped(ValidIO(new ICacheMissResp))
681d8f4dcbSJay}
691d8f4dcbSJay
701d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{
711d8f4dcbSJay  val req  = Valid(new PMPReqBundle())
721d8f4dcbSJay  val resp = Input(new PMPRespBundle())
731d8f4dcbSJay}
741d8f4dcbSJay
751d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{
761d8f4dcbSJay  val only_0_hit     = Bool()
771d8f4dcbSJay  val only_0_miss    = Bool()
781d8f4dcbSJay  val hit_0_hit_1    = Bool()
791d8f4dcbSJay  val hit_0_miss_1   = Bool()
801d8f4dcbSJay  val miss_0_hit_1   = Bool()
811d8f4dcbSJay  val miss_0_miss_1  = Bool()
82a108d429SJay  val hit_0_except_1 = Bool()
83a108d429SJay  val miss_0_except_1 = Bool()
84a108d429SJay  val except_0       = Bool()
851d8f4dcbSJay  val bank_hit       = Vec(2,Bool())
861d8f4dcbSJay  val hit            = Bool()
871d8f4dcbSJay}
881d8f4dcbSJay
891d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle {
90f57f7f2aSYangyu Chen  val hartId = Input(UInt(hartIdLen.W))
912a3050c2SJay  /*** internal interface ***/
921d8f4dcbSJay  val dataArray     = new ICacheDataReqBundle
93b1ded4e8Sguohongyu  /** prefetch io */
94b92f8445Sssszwic  val touch = Vec(PortNumber,ValidIO(new ReplacerTouch))
95b92f8445Sssszwic  val wayLookupRead = Flipped(DecoupledIO(new WayLookupInfo))
96cb6e5d3cSssszwic
97b92f8445Sssszwic  val mshr          = new ICacheMSHRBundle
980184a80eSYanqin Li  val errors        = Output(Vec(PortNumber, ValidIO(new L1CacheErrorInfo)))
992a3050c2SJay  /*** outside interface ***/
100c5c5edaeSJenius  //val fetch       = Vec(PortNumber, new ICacheMainPipeBundle)
101c5c5edaeSJenius  /* when ftq.valid is high in T + 1 cycle
102c5c5edaeSJenius   * the ftq component must be valid in T cycle
103c5c5edaeSJenius   */
104c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
1051d8f4dcbSJay  val pmp         = Vec(PortNumber, new ICachePMPBundle)
1061d8f4dcbSJay  val respStall   = Input(Bool())
10758dbdfc2SJay
108ecccf78fSJay  val csr_parity_enable = Input(Bool())
109b92f8445Sssszwic  val flush = Input(Bool())
110b92f8445Sssszwic
111b92f8445Sssszwic  val perfInfo = Output(new ICachePerfInfo)
1121d8f4dcbSJay}
1131d8f4dcbSJay
114f9c51548Sssszwicclass ICacheDB(implicit p: Parameters) extends ICacheBundle {
115f9c51548Sssszwic  val blk_vaddr   = UInt((VAddrBits - blockOffBits).W)
116f9c51548Sssszwic  val blk_paddr   = UInt((PAddrBits - blockOffBits).W)
117f9c51548Sssszwic  val hit         = Bool()
118f9c51548Sssszwic}
119f9c51548Sssszwic
1201d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule
1211d8f4dcbSJay{
1221d8f4dcbSJay  val io = IO(new ICacheMainPipeInterface)
1231d8f4dcbSJay
12458dbdfc2SJay  /** Input/Output port */
125c5c5edaeSJenius  val (fromFtq, toIFU)    = (io.fetch.req,          io.fetch.resp)
126b92f8445Sssszwic  val (toData,  fromData) = (io.dataArray.toIData,  io.dataArray.fromIData)
127b92f8445Sssszwic  val (toMSHR,  fromMSHR) = (io.mshr.req,           io.mshr.resp)
1281d8f4dcbSJay  val (toPMP,   fromPMP)  = (io.pmp.map(_.req),     io.pmp.map(_.resp))
129b92f8445Sssszwic  val fromWayLookup = io.wayLookupRead
13058c354d0Sssszwic
13158c354d0Sssszwic  // Statistics on the frequency distribution of FTQ fire interval
13258c354d0Sssszwic  val cntFtqFireInterval = RegInit(0.U(32.W))
13358c354d0Sssszwic  cntFtqFireInterval := Mux(fromFtq.fire, 1.U, cntFtqFireInterval + 1.U)
134da05f2feSYangyu Chen  XSPerfHistogram("ftq2icache_fire",
13558c354d0Sssszwic                  cntFtqFireInterval, fromFtq.fire,
13658c354d0Sssszwic                  1, 300, 1, right_strict = true)
137b1ded4e8Sguohongyu
13858dbdfc2SJay  /** pipeline control signal */
139f1fe8698SLemover  val s1_ready, s2_ready = Wire(Bool())
140f1fe8698SLemover  val s0_fire,  s1_fire , s2_fire  = Wire(Bool())
141b92f8445Sssszwic  val s0_flush,  s1_flush , s2_flush  = Wire(Bool())
1421d8f4dcbSJay
1432a3050c2SJay  /**
1442a3050c2SJay    ******************************************************************************
14558dbdfc2SJay    * ICache Stage 0
146b92f8445Sssszwic    * - send req to data SRAM
147b92f8445Sssszwic    * - get waymask and tlb info from wayLookup
1482a3050c2SJay    ******************************************************************************
1492a3050c2SJay    */
1502a3050c2SJay
15158dbdfc2SJay  /** s0 control */
152b92f8445Sssszwic  // 0,1,2,3 -> dataArray(data); 4 -> mainPipe
153b92f8445Sssszwic  // Ftq RegNext Register
154b92f8445Sssszwic  val fromFtqReq          = fromFtq.bits.pcMemRead
155c5c5edaeSJenius  val s0_valid            = fromFtq.valid
156b92f8445Sssszwic  val s0_req_valid_all    = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i))
157b92f8445Sssszwic  val s0_req_vaddr_all    = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart)))
15888895b11Sxu_zh  val s0_req_vSetIdx_all  = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr_all(i).map(get_idx)))
159b92f8445Sssszwic  val s0_req_offset_all   = (0 until partWayNum + 1).map(i => s0_req_vaddr_all(i)(0)(log2Ceil(blockBytes)-1, 0))
160b92f8445Sssszwic  val s0_doubleline_all   = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline)
1611d8f4dcbSJay
162b92f8445Sssszwic  val s0_req_vaddr        = s0_req_vaddr_all.last
163b92f8445Sssszwic  val s0_req_vSetIdx      = s0_req_vSetIdx_all.last
164b92f8445Sssszwic  val s0_doubleline       = s0_doubleline_all.last
16561e1db30SJay
166b92f8445Sssszwic  /**
167b92f8445Sssszwic    ******************************************************************************
168b92f8445Sssszwic    * get waymask and tlb info from wayLookup
169b92f8445Sssszwic    ******************************************************************************
170b92f8445Sssszwic    */
171b92f8445Sssszwic  fromWayLookup.ready := s0_fire
172b92f8445Sssszwic  val s0_waymasks       = VecInit(fromWayLookup.bits.waymask.map(_.asTypeOf(Vec(nWays, Bool()))))
173b92f8445Sssszwic  val s0_req_ptags      = fromWayLookup.bits.ptag
174b92f8445Sssszwic  val s0_req_gpaddr     = fromWayLookup.bits.gpaddr
17588895b11Sxu_zh  val s0_itlb_exception = fromWayLookup.bits.itlb_exception
17688895b11Sxu_zh  val s0_meta_corrupt   = fromWayLookup.bits.meta_corrupt
17788895b11Sxu_zh  val s0_hits           = VecInit(fromWayLookup.bits.waymask.map(_.orR))
178f56177cbSJenius
179b92f8445Sssszwic  when(s0_fire){
180b92f8445Sssszwic    assert((0 until PortNumber).map(i => s0_req_vSetIdx(i) === fromWayLookup.bits.vSetIdx(i)).reduce(_&&_),
181b92f8445Sssszwic           "vSetIdxs from ftq and wayLookup are different! vaddr0=0x%x ftq: vidx0=0x%x vidx1=0x%x wayLookup: vidx0=0x%x vidx1=0x%x",
182b92f8445Sssszwic           s0_req_vaddr(0), s0_req_vSetIdx(0), s0_req_vSetIdx(1), fromWayLookup.bits.vSetIdx(0), fromWayLookup.bits.vSetIdx(1))
1831d8f4dcbSJay  }
184afed18b5SJenius
185b92f8445Sssszwic  /**
186b92f8445Sssszwic    ******************************************************************************
187b92f8445Sssszwic    * data SRAM request
188b92f8445Sssszwic    ******************************************************************************
189b92f8445Sssszwic    */
190b92f8445Sssszwic  for(i <- 0 until partWayNum) {
191b92f8445Sssszwic    toData(i).valid             := s0_req_valid_all(i)
192b92f8445Sssszwic    toData(i).bits.isDoubleLine := s0_doubleline_all(i)
193b92f8445Sssszwic    toData(i).bits.vSetIdx      := s0_req_vSetIdx_all(i)
194b92f8445Sssszwic    toData(i).bits.blkOffset    := s0_req_offset_all(i)
195b92f8445Sssszwic    toData(i).bits.wayMask      := s0_waymasks
196b92f8445Sssszwic  }
197afed18b5SJenius
198b92f8445Sssszwic  val s0_can_go = toData.last.ready && fromWayLookup.valid && s1_ready
199b92f8445Sssszwic  s0_flush  := io.flush
200b92f8445Sssszwic  s0_fire   := s0_valid && s0_can_go && !s0_flush
2012a3050c2SJay
202c5c5edaeSJenius  fromFtq.ready := s0_can_go
203f1fe8698SLemover
2042a3050c2SJay  /**
2052a3050c2SJay    ******************************************************************************
20658dbdfc2SJay    * ICache Stage 1
207b92f8445Sssszwic    * - PMP check
208b92f8445Sssszwic    * - get Data SRAM read responses (latched for pipeline stop)
209b92f8445Sssszwic    * - monitor missUint response port
2102a3050c2SJay    ******************************************************************************
2112a3050c2SJay    */
212b92f8445Sssszwic  val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B)
2131d8f4dcbSJay
214b92f8445Sssszwic  val s1_req_vaddr      = RegEnable(s0_req_vaddr,      0.U.asTypeOf(s0_req_vaddr),      s0_fire)
215b92f8445Sssszwic  val s1_req_ptags      = RegEnable(s0_req_ptags,      0.U.asTypeOf(s0_req_ptags),      s0_fire)
216b92f8445Sssszwic  val s1_req_gpaddr     = RegEnable(s0_req_gpaddr,     0.U.asTypeOf(s0_req_gpaddr),     s0_fire)
217b92f8445Sssszwic  val s1_doubleline     = RegEnable(s0_doubleline,     0.U.asTypeOf(s0_doubleline),     s0_fire)
218b92f8445Sssszwic  val s1_SRAMhits       = RegEnable(s0_hits,           0.U.asTypeOf(s0_hits),           s0_fire)
21988895b11Sxu_zh  val s1_itlb_exception = RegEnable(s0_itlb_exception, 0.U.asTypeOf(s0_itlb_exception), s0_fire)
220b92f8445Sssszwic  val s1_waymasks       = RegEnable(s0_waymasks,       0.U.asTypeOf(s0_waymasks),       s0_fire)
22188895b11Sxu_zh  val s1_meta_corrupt   = RegEnable(s0_meta_corrupt,   0.U.asTypeOf(s0_meta_corrupt),   s0_fire)
2221d8f4dcbSJay
22388895b11Sxu_zh  val s1_req_vSetIdx  = s1_req_vaddr.map(get_idx)
224b92f8445Sssszwic  val s1_req_paddr    = s1_req_vaddr.zip(s1_req_ptags).map{case(vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag)}
225b92f8445Sssszwic  val s1_req_offset   = s1_req_vaddr(0)(log2Ceil(blockBytes)-1, 0)
226b1ded4e8Sguohongyu
2272a3050c2SJay  /**
2282a3050c2SJay    ******************************************************************************
229b92f8445Sssszwic    * update replacement status register
2302a3050c2SJay    ******************************************************************************
2312a3050c2SJay    */
232b92f8445Sssszwic  (0 until PortNumber).foreach{ i =>
233b92f8445Sssszwic    io.touch(i).bits.vSetIdx  := s1_req_vSetIdx(i)
234b92f8445Sssszwic    io.touch(i).bits.way      := OHToUInt(s1_waymasks(i))
235b92f8445Sssszwic  }
236b92f8445Sssszwic  io.touch(0).valid := RegNext(s0_fire) && s1_SRAMhits(0)
237b92f8445Sssszwic  io.touch(1).valid := RegNext(s0_fire) && s1_SRAMhits(1) && s1_doubleline
238f1fe8698SLemover
239a61a35e0Sssszwic  /**
240a61a35e0Sssszwic    ******************************************************************************
241b92f8445Sssszwic    * PMP check
242a61a35e0Sssszwic    ******************************************************************************
243a61a35e0Sssszwic    */
24488895b11Sxu_zh  toPMP.zipWithIndex.foreach { case (p, i) =>
24588895b11Sxu_zh    // if itlb has exception, paddr can be invalid, therefore pmp check can be skipped
24688895b11Sxu_zh    p.valid     := s1_valid // && s1_itlb_exception === ExceptionType.none
247b92f8445Sssszwic    p.bits.addr := s1_req_paddr(i)
248a61a35e0Sssszwic    p.bits.size := 3.U // TODO
249a61a35e0Sssszwic    p.bits.cmd  := TlbCmd.exec
250a61a35e0Sssszwic  }
25188895b11Sxu_zh  val s1_pmp_exception = VecInit(fromPMP.map(ExceptionType.fromPMPResp))
25288895b11Sxu_zh  val s1_mmio          = VecInit(fromPMP.map(_.mmio))
25388895b11Sxu_zh
254*f80535c3Sxu_zh  // also raise af when meta array corrupt is detected, to cancel fetch
255*f80535c3Sxu_zh  val s1_meta_exception = VecInit(s1_meta_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _)))
256*f80535c3Sxu_zh
257*f80535c3Sxu_zh  // merge s1 itlb/pmp/meta exceptions, itlb has the highest priority, pmp next, meta lowest
258*f80535c3Sxu_zh  val s1_exception_out = ExceptionType.merge(
259*f80535c3Sxu_zh    s1_itlb_exception,
260*f80535c3Sxu_zh    s1_pmp_exception,
261*f80535c3Sxu_zh    s1_meta_exception
262*f80535c3Sxu_zh  )
2631d8f4dcbSJay
264a61a35e0Sssszwic  /**
265a61a35e0Sssszwic    ******************************************************************************
266b92f8445Sssszwic    * select data from MSHR, SRAM
267a61a35e0Sssszwic    ******************************************************************************
268a61a35e0Sssszwic    */
269b92f8445Sssszwic  val s1_MSHR_match = VecInit((0 until PortNumber).map(i => (s1_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) &&
270b92f8445Sssszwic                                                            (s1_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) &&
271b92f8445Sssszwic                                                            fromMSHR.valid && !fromMSHR.bits.corrupt))
272b92f8445Sssszwic  val s1_MSHR_hits  = Seq(s1_valid && s1_MSHR_match(0),
273b92f8445Sssszwic                          s1_valid && (s1_MSHR_match(1) && s1_doubleline))
274b92f8445Sssszwic  val s1_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits/ICacheDataBanks).W)))
27579b191f7SJay
276b92f8445Sssszwic  val s1_hits = (0 until PortNumber).map(i => ValidHoldBypass(s1_MSHR_hits(i) || (RegNext(s0_fire) && s1_SRAMhits(i)), s1_fire || s1_flush))
277a61a35e0Sssszwic
278b92f8445Sssszwic  val s1_bankIdxLow  = s1_req_offset >> log2Ceil(blockBytes/ICacheDataBanks)
279b92f8445Sssszwic  val s1_bankMSHRHit = VecInit((0 until ICacheDataBanks).map(i => (i.U >= s1_bankIdxLow) && s1_MSHR_hits(0) ||
280b92f8445Sssszwic                                                      (i.U < s1_bankIdxLow) && s1_MSHR_hits(1)))
281b92f8445Sssszwic  val s1_datas       = VecInit((0 until ICacheDataBanks).map(i => DataHoldBypass(Mux(s1_bankMSHRHit(i), s1_MSHR_datas(i), fromData.datas(i)),
282b92f8445Sssszwic                                                          s1_bankMSHRHit(i) || RegNext(s0_fire))))
283b92f8445Sssszwic  val s1_codes       = DataHoldBypass(fromData.codes, RegNext(s0_fire))
284a61a35e0Sssszwic
285b92f8445Sssszwic  s1_flush := io.flush
286b92f8445Sssszwic  s1_ready := s2_ready || !s1_valid
287b92f8445Sssszwic  s1_fire  := s1_valid && s2_ready && !s1_flush
288a61a35e0Sssszwic
289a61a35e0Sssszwic  /**
290a61a35e0Sssszwic    ******************************************************************************
291b92f8445Sssszwic    * ICache Stage 2
292b92f8445Sssszwic    * - send request to MSHR if ICache miss
293b92f8445Sssszwic    * - monitor missUint response port
294b92f8445Sssszwic    * - response to IFU
295a61a35e0Sssszwic    ******************************************************************************
296a61a35e0Sssszwic    */
297a61a35e0Sssszwic
298b92f8445Sssszwic  val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B)
299a61a35e0Sssszwic
300b92f8445Sssszwic  val s2_req_vaddr    = RegEnable(s1_req_vaddr,     0.U.asTypeOf(s1_req_vaddr),     s1_fire)
301b92f8445Sssszwic  val s2_req_ptags    = RegEnable(s1_req_ptags,     0.U.asTypeOf(s1_req_ptags),     s1_fire)
302b39ba14bSxu_zh  val s2_req_gpaddr   = RegEnable(s1_req_gpaddr,    0.U.asTypeOf(s1_req_gpaddr),    s1_fire)
303b92f8445Sssszwic  val s2_doubleline   = RegEnable(s1_doubleline,    0.U.asTypeOf(s1_doubleline),    s1_fire)
304*f80535c3Sxu_zh  val s2_exception    = RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_fire)  // includes itlb/pmp/meta exception
30588895b11Sxu_zh  val s2_mmio         = RegEnable(s1_mmio,          0.U.asTypeOf(s1_mmio),          s1_fire)
306a61a35e0Sssszwic
30788895b11Sxu_zh  val s2_req_vSetIdx  = s2_req_vaddr.map(get_idx)
308b92f8445Sssszwic  val s2_req_offset   = s2_req_vaddr(0)(log2Ceil(blockBytes)-1, 0)
309b92f8445Sssszwic  val s2_req_paddr    = s2_req_vaddr.zip(s2_req_ptags).map{case(vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag)}
310a61a35e0Sssszwic
311b92f8445Sssszwic  val s2_SRAMhits     = RegEnable(s1_SRAMhits, 0.U.asTypeOf(s1_SRAMhits), s1_fire)
312b92f8445Sssszwic  val s2_codes        = RegEnable(s1_codes, 0.U.asTypeOf(s1_codes), s1_fire)
313b92f8445Sssszwic  val s2_hits         = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
314b92f8445Sssszwic  val s2_datas        = RegInit(VecInit(Seq.fill(ICacheDataBanks)(0.U((blockBits/ICacheDataBanks).W))))
315a61a35e0Sssszwic
316a61a35e0Sssszwic  /**
317a61a35e0Sssszwic    ******************************************************************************
318b92f8445Sssszwic    * report data parity error
319a61a35e0Sssszwic    ******************************************************************************
320a61a35e0Sssszwic    */
321b92f8445Sssszwic  // check data error
322b92f8445Sssszwic  val s2_bankSel     = getBankSel(s2_req_offset, s2_valid)
32388895b11Sxu_zh  val s2_bank_corrupt = (0 until ICacheDataBanks).map(i => (encode(s2_datas(i)) =/= s2_codes(i)))
32488895b11Sxu_zh  val s2_data_corrupt = (0 until PortNumber).map(port => (0 until ICacheDataBanks).map(bank =>
32588895b11Sxu_zh                         s2_bank_corrupt(bank) && s2_bankSel(port)(bank).asBool).reduce(_||_) && s2_SRAMhits(port))
326b92f8445Sssszwic  // meta error is checked in prefetch pipeline
32788895b11Sxu_zh  val s2_meta_corrupt = RegEnable(s1_meta_corrupt, 0.U.asTypeOf(s1_meta_corrupt), s1_fire)
328b92f8445Sssszwic  // send errors to top
329a61a35e0Sssszwic  (0 until PortNumber).map{ i =>
33088895b11Sxu_zh    io.errors(i).valid              := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_corrupt(i) || s2_data_corrupt(i))
33188895b11Sxu_zh    io.errors(i).bits.report_to_beu := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_corrupt(i) || s2_data_corrupt(i))
332b92f8445Sssszwic    io.errors(i).bits.paddr         := s2_req_paddr(i)
3330184a80eSYanqin Li    io.errors(i).bits.source        := DontCare
33488895b11Sxu_zh    io.errors(i).bits.source.tag    := s2_meta_corrupt(i)
33588895b11Sxu_zh    io.errors(i).bits.source.data   := s2_data_corrupt(i)
3360184a80eSYanqin Li    io.errors(i).bits.source.l2     := false.B
3370184a80eSYanqin Li    io.errors(i).bits.opType        := DontCare
3380184a80eSYanqin Li    io.errors(i).bits.opType.fetch  := true.B
33979b191f7SJay  }
34079b191f7SJay
341b92f8445Sssszwic  /**
342b92f8445Sssszwic    ******************************************************************************
343b92f8445Sssszwic    * monitor missUint response port
344b92f8445Sssszwic    ******************************************************************************
345b92f8445Sssszwic    */
346fa42eb78Sxu_zh  val s2_MSHR_match = VecInit((0 until PortNumber).map( i =>
347fa42eb78Sxu_zh    (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) &&
348b92f8445Sssszwic    (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) &&
349fa42eb78Sxu_zh    fromMSHR.valid  // we don't care about whether it's corrupt here
350fa42eb78Sxu_zh  ))
351b92f8445Sssszwic  val s2_MSHR_hits  = Seq(s2_valid && s2_MSHR_match(0),
352fa42eb78Sxu_zh                          s2_valid && s2_MSHR_match(1) && s2_doubleline)
353b92f8445Sssszwic  val s2_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits/ICacheDataBanks).W)))
354b92f8445Sssszwic
355b92f8445Sssszwic  val s2_bankIdxLow  = s2_req_offset >> log2Ceil(blockBytes/ICacheDataBanks)
356fa42eb78Sxu_zh  val s2_bankMSHRHit = VecInit((0 until ICacheDataBanks).map( i =>
357fa42eb78Sxu_zh    ((i.U >= s2_bankIdxLow) && s2_MSHR_hits(0)) || ((i.U < s2_bankIdxLow) && s2_MSHR_hits(1))
358fa42eb78Sxu_zh  ))
359b92f8445Sssszwic
360b92f8445Sssszwic  (0 until ICacheDataBanks).foreach{ i =>
361b92f8445Sssszwic    when(s1_fire) {
362b92f8445Sssszwic      s2_datas := s1_datas
363fa42eb78Sxu_zh    }.elsewhen(s2_bankMSHRHit(i) && !fromMSHR.bits.corrupt) {
364fa42eb78Sxu_zh      // if corrupt, no need to update s2_datas (it's wrong anyway), to save power
365b92f8445Sssszwic      s2_datas(i) := s2_MSHR_datas(i)
366b92f8445Sssszwic    }
367b92f8445Sssszwic  }
368b92f8445Sssszwic
369b92f8445Sssszwic  (0 until PortNumber).foreach{ i =>
370b92f8445Sssszwic    when(s1_fire) {
371b92f8445Sssszwic      s2_hits := s1_hits
372b92f8445Sssszwic    }.elsewhen(s2_MSHR_hits(i)) {
373fa42eb78Sxu_zh      // update s2_hits even if it's corrupt, to let s2_fire
374b92f8445Sssszwic      s2_hits(i) := true.B
375b92f8445Sssszwic    }
376b92f8445Sssszwic  }
377b92f8445Sssszwic
37888895b11Sxu_zh  val s2_l2_corrupt = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
379b92f8445Sssszwic  (0 until PortNumber).foreach{ i =>
380b92f8445Sssszwic    when(s1_fire) {
38188895b11Sxu_zh      s2_l2_corrupt(i) := false.B
382b92f8445Sssszwic    }.elsewhen(s2_MSHR_hits(i)) {
38388895b11Sxu_zh      s2_l2_corrupt(i) := fromMSHR.bits.corrupt
384b92f8445Sssszwic    }
385b92f8445Sssszwic  }
386b92f8445Sssszwic
387b92f8445Sssszwic  /**
388b92f8445Sssszwic    ******************************************************************************
389b92f8445Sssszwic    * send request to MSHR if ICache miss
390b92f8445Sssszwic    ******************************************************************************
391b92f8445Sssszwic    */
392*f80535c3Sxu_zh  /* s2_exception includes itlb pf/gpf/af, pmp af and meta corruption (af), neither of which should be fetched
393*f80535c3Sxu_zh   * mmio should not be fetched, it will be fetched by IFU mmio fsm
394*f80535c3Sxu_zh   * also, if previous has exception, latter port should also not be fetched
39588895b11Sxu_zh   */
396b808ac73Sxu_zh  val s2_miss = VecInit((0 until PortNumber).map { i =>
397b808ac73Sxu_zh    !s2_hits(i) && (if (i==0) true.B else s2_doubleline) &&
39888895b11Sxu_zh      s2_exception.take(i+1).map(_ === ExceptionType.none).reduce(_&&_) &&
39988895b11Sxu_zh      s2_mmio.take(i+1).map(!_).reduce(_&&_)
400b808ac73Sxu_zh  })
401b92f8445Sssszwic
402b92f8445Sssszwic  val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber))
403b92f8445Sssszwic
404b92f8445Sssszwic  // To avoid sending duplicate requests.
405b92f8445Sssszwic  val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
406b92f8445Sssszwic  (0 until PortNumber).foreach{ i =>
407b92f8445Sssszwic    when(s1_fire) {
408b92f8445Sssszwic      has_send(i) := false.B
409b92f8445Sssszwic    }.elsewhen(toMSHRArbiter.io.in(i).fire) {
410b92f8445Sssszwic      has_send(i) := true.B
411b92f8445Sssszwic    }
412b92f8445Sssszwic  }
413b92f8445Sssszwic
414b92f8445Sssszwic  (0 until PortNumber).map{ i =>
415b92f8445Sssszwic    toMSHRArbiter.io.in(i).valid          := s2_valid && s2_miss(i) && !has_send(i) && !s2_flush
416b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.blkPaddr  := getBlkAddr(s2_req_paddr(i))
417b92f8445Sssszwic    toMSHRArbiter.io.in(i).bits.vSetIdx   := s2_req_vSetIdx(i)
418b92f8445Sssszwic  }
419b92f8445Sssszwic  toMSHR <> toMSHRArbiter.io.out
420b92f8445Sssszwic
421b92f8445Sssszwic  XSPerfAccumulate("to_missUnit_stall",  toMSHR.valid && !toMSHR.ready)
422b92f8445Sssszwic
423b92f8445Sssszwic  val s2_fetch_finish = !s2_miss.reduce(_||_)
424*f80535c3Sxu_zh
425*f80535c3Sxu_zh  // also raise af if data/l2 corrupt is detected
426*f80535c3Sxu_zh  val s2_data_exception = VecInit(s2_data_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _)))
427*f80535c3Sxu_zh  val s2_l2_exception   = VecInit(s2_l2_corrupt.map(ExceptionType.fromECC(true.B, _)))
428*f80535c3Sxu_zh
429*f80535c3Sxu_zh  // merge s2 exceptions, itlb has the highest priority, meta next, meta/data/l2 lowest (and we dont care about prioritizing between this three)
43088895b11Sxu_zh  val s2_exception_out = ExceptionType.merge(
431*f80535c3Sxu_zh    s2_exception,  // includes itlb/pmp/meta exception
432*f80535c3Sxu_zh    s2_data_exception,
433*f80535c3Sxu_zh    s2_l2_exception
43488895b11Sxu_zh  )
435b92f8445Sssszwic
436b92f8445Sssszwic  /**
437b92f8445Sssszwic    ******************************************************************************
438b92f8445Sssszwic    * response to IFU
439b92f8445Sssszwic    ******************************************************************************
440b92f8445Sssszwic    */
4411a5af821Sxu_zh  (0 until PortNumber).foreach{ i =>
442b92f8445Sssszwic    if(i == 0) {
443b92f8445Sssszwic      toIFU(i).valid          := s2_fire
44488895b11Sxu_zh      toIFU(i).bits.exception := s2_exception_out(i)
44588895b11Sxu_zh      toIFU(i).bits.mmio      := s2_mmio(i)
446b92f8445Sssszwic      toIFU(i).bits.data      := s2_datas.asTypeOf(UInt(blockBits.W))
447b92f8445Sssszwic    } else {
448b92f8445Sssszwic      toIFU(i).valid          := s2_fire && s2_doubleline
44988895b11Sxu_zh      toIFU(i).bits.exception := Mux(s2_doubleline, s2_exception_out(i), ExceptionType.none)
45088895b11Sxu_zh      toIFU(i).bits.mmio      := s2_mmio(i) && s2_doubleline
451b92f8445Sssszwic      toIFU(i).bits.data      := DontCare
452b92f8445Sssszwic    }
453b92f8445Sssszwic    toIFU(i).bits.vaddr       := s2_req_vaddr(i)
454b92f8445Sssszwic    toIFU(i).bits.paddr       := s2_req_paddr(i)
4551a5af821Sxu_zh    toIFU(i).bits.gpaddr      := s2_req_gpaddr  // Note: toIFU(1).bits.gpaddr is actually DontCare in current design
456b92f8445Sssszwic  }
457b92f8445Sssszwic
458b92f8445Sssszwic  s2_flush := io.flush
459b92f8445Sssszwic  s2_ready := (s2_fetch_finish && !io.respStall) || !s2_valid
460b92f8445Sssszwic  s2_fire  := s2_valid && s2_fetch_finish && !io.respStall && !s2_flush
461b92f8445Sssszwic
462b92f8445Sssszwic  /**
463b92f8445Sssszwic    ******************************************************************************
464b92f8445Sssszwic    * report Tilelink corrupt error
465b92f8445Sssszwic    ******************************************************************************
466b92f8445Sssszwic    */
467a61a35e0Sssszwic  (0 until PortNumber).map{ i =>
46888895b11Sxu_zh    when(RegNext(s2_fire && s2_l2_corrupt(i))){
469a61a35e0Sssszwic      io.errors(i).valid                 := true.B
4700184a80eSYanqin Li      io.errors(i).bits.report_to_beu    := false.B // l2 should have report that to bus error unit, no need to do it again
471b92f8445Sssszwic      io.errors(i).bits.paddr            := RegNext(s2_req_paddr(i))
4720184a80eSYanqin Li      io.errors(i).bits.source.tag       := false.B
4730184a80eSYanqin Li      io.errors(i).bits.source.data      := false.B
4740184a80eSYanqin Li      io.errors(i).bits.source.l2        := true.B
4751d8f4dcbSJay    }
4761d8f4dcbSJay  }
4771d8f4dcbSJay
478a61a35e0Sssszwic  /**
479a61a35e0Sssszwic    ******************************************************************************
480a61a35e0Sssszwic    * performance info. TODO: need to simplify the logic
481a61a35e0Sssszwic    ***********************************************************s*******************
482a61a35e0Sssszwic    */
483b92f8445Sssszwic  io.perfInfo.only_0_hit      :=  s2_hits(0) && !s2_doubleline
484b92f8445Sssszwic  io.perfInfo.only_0_miss     := !s2_hits(0) && !s2_doubleline
485b92f8445Sssszwic  io.perfInfo.hit_0_hit_1     :=  s2_hits(0) &&  s2_hits(1) && s2_doubleline
486b92f8445Sssszwic  io.perfInfo.hit_0_miss_1    :=  s2_hits(0) && !s2_hits(1) && s2_doubleline
487b92f8445Sssszwic  io.perfInfo.miss_0_hit_1    := !s2_hits(0) &&  s2_hits(1) && s2_doubleline
488b92f8445Sssszwic  io.perfInfo.miss_0_miss_1   := !s2_hits(0) && !s2_hits(1) && s2_doubleline
48988895b11Sxu_zh  io.perfInfo.hit_0_except_1  :=  s2_hits(0) && (s2_exception(1) =/= ExceptionType.none) && s2_doubleline
49088895b11Sxu_zh  io.perfInfo.miss_0_except_1 := !s2_hits(0) && (s2_exception(1) =/= ExceptionType.none) && s2_doubleline
491b92f8445Sssszwic  io.perfInfo.bank_hit(0)     :=  s2_hits(0)
492b92f8445Sssszwic  io.perfInfo.bank_hit(1)     :=  s2_hits(1) && s2_doubleline
49388895b11Sxu_zh  io.perfInfo.except_0        :=  s2_exception(0) =/= ExceptionType.none
494b92f8445Sssszwic  io.perfInfo.hit             :=  s2_hits(0) && (!s2_doubleline || s2_hits(1))
49558dbdfc2SJay
49658dbdfc2SJay  /** <PERF> fetch bubble generated by icache miss */
49700240ba6SJay  XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish )
498b92f8445Sssszwic  XSPerfAccumulate("icache_bubble_s0_wayLookup", s0_valid && !fromWayLookup.ready)
499b92f8445Sssszwic
500b92f8445Sssszwic  io.fetch.topdownIcacheMiss := !s2_fetch_finish
501b92f8445Sssszwic  io.fetch.topdownItlbMiss := s0_valid && !fromWayLookup.ready
502b92f8445Sssszwic
503b92f8445Sssszwic  // class ICacheTouchDB(implicit p: Parameters) extends ICacheBundle{
504b92f8445Sssszwic  //   val blkPaddr  = UInt((PAddrBits - blockOffBits).W)
505b92f8445Sssszwic  //   val vSetIdx   = UInt(idxBits.W)
506b92f8445Sssszwic  //   val waymask   = UInt(log2Ceil(nWays).W)
507b92f8445Sssszwic  // }
508b92f8445Sssszwic
509b92f8445Sssszwic  // val isWriteICacheTouchTable = WireInit(Constantin.createRecord("isWriteICacheTouchTable" + p(XSCoreParamsKey).HartId.toString))
510b92f8445Sssszwic  // val ICacheTouchTable = ChiselDB.createTable("ICacheTouchTable" + p(XSCoreParamsKey).HartId.toString, new ICacheTouchDB)
511b92f8445Sssszwic
512b92f8445Sssszwic  // val ICacheTouchDumpData = Wire(Vec(PortNumber, new ICacheTouchDB))
513b92f8445Sssszwic  // (0 until PortNumber).foreach{ i =>
514b92f8445Sssszwic  //   ICacheTouchDumpData(i).blkPaddr  := getBlkAddr(s2_req_paddr(i))
515b92f8445Sssszwic  //   ICacheTouchDumpData(i).vSetIdx   := s2_req_vSetIdx(i)
516b92f8445Sssszwic  //   ICacheTouchDumpData(i).waymask   := OHToUInt(s2_tag_match_vec(i))
517b92f8445Sssszwic  //   ICacheTouchTable.log(
518b92f8445Sssszwic  //     data  = ICacheTouchDumpData(i),
519b92f8445Sssszwic  //     en    = io.touch(i).valid,
520b92f8445Sssszwic  //     site  = "req_" + i.toString,
521b92f8445Sssszwic  //     clock = clock,
522b92f8445Sssszwic  //     reset = reset
523b92f8445Sssszwic  //   )
524b92f8445Sssszwic  // }
52558dbdfc2SJay
526a61a35e0Sssszwic  /**
527a61a35e0Sssszwic    ******************************************************************************
528a61a35e0Sssszwic    * difftest refill check
529a61a35e0Sssszwic    ******************************************************************************
530a61a35e0Sssszwic    */
531afa866b1Sguohongyu  if (env.EnableDifftest) {
532afa866b1Sguohongyu    val discards = (0 until PortNumber).map { i =>
53388895b11Sxu_zh      val discard = toIFU(i).bits.exception =/= ExceptionType.none || toIFU(i).bits.mmio
534afa866b1Sguohongyu      discard
535afa866b1Sguohongyu    }
536b92f8445Sssszwic    val blkPaddrAll = s2_req_paddr.map(addr => addr(PAddrBits - 1, blockOffBits) << blockOffBits)
537b92f8445Sssszwic    (0 until ICacheDataBanks).map { i =>
538a0c65233SYinan Xu      val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true)
5397d45a146SYinan Xu      diffMainPipeOut.coreid := io.hartId
540b92f8445Sssszwic      diffMainPipeOut.index := (3 + i).U
541b92f8445Sssszwic
542b92f8445Sssszwic      val bankSel = getBankSel(s2_req_offset, s2_valid).reduce(_|_)
543b92f8445Sssszwic      val lineSel = getLineSel(s2_req_offset)
544b92f8445Sssszwic
545b92f8445Sssszwic      diffMainPipeOut.valid := s2_fire && bankSel(i).asBool && Mux(lineSel(i), !discards(1), !discards(0))
546b92f8445Sssszwic      diffMainPipeOut.addr  := Mux(lineSel(i), blkPaddrAll(1) + (i.U << (log2Ceil(blockBytes/ICacheDataBanks))),
547b92f8445Sssszwic                                               blkPaddrAll(0) + (i.U << (log2Ceil(blockBytes/ICacheDataBanks))))
548b92f8445Sssszwic
549b92f8445Sssszwic      diffMainPipeOut.data :=  s2_datas(i).asTypeOf(diffMainPipeOut.data)
550b92f8445Sssszwic      diffMainPipeOut.idtfr := DontCare
551afa866b1Sguohongyu    }
552afa866b1Sguohongyu  }
5531d8f4dcbSJay}