11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 227d45a146SYinan Xuimport difftest._ 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates 241d8f4dcbSJayimport xiangshan._ 251d8f4dcbSJayimport xiangshan.cache.mmu._ 261d8f4dcbSJayimport utils._ 273c02ee8fSwakafaimport utility._ 281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 29f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle} 301d8f4dcbSJay 311d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle 321d8f4dcbSJay{ 331d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 341d8f4dcbSJay def vsetIdx = get_idx(vaddr) 351d8f4dcbSJay} 361d8f4dcbSJay 371d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle 381d8f4dcbSJay{ 391d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 40a61a35e0Sssszwic // val registerData = UInt(blockBits.W) 41a61a35e0Sssszwic // val sramData = UInt(blockBits.W) 42a61a35e0Sssszwic // val select = Bool() 43a61a35e0Sssszwic val data = UInt((blockBits/2).W) 441d8f4dcbSJay val paddr = UInt(PAddrBits.W) 451d8f4dcbSJay val tlbExcp = new Bundle{ 461d8f4dcbSJay val pageFault = Bool() 471d8f4dcbSJay val accessFault = Bool() 481d8f4dcbSJay val mmio = Bool() 491d8f4dcbSJay } 501d8f4dcbSJay} 511d8f4dcbSJay 521d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle 531d8f4dcbSJay{ 54c5c5edaeSJenius val req = Flipped(Decoupled(new FtqToICacheRequestBundle)) 55c5c5edaeSJenius val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp)) 56d2b20d1aSTang Haojin val topdownIcacheMiss = Output(Bool()) 57d2b20d1aSTang Haojin val topdownItlbMiss = Output(Bool()) 581d8f4dcbSJay} 591d8f4dcbSJay 601d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{ 61afed18b5SJenius val toIMeta = DecoupledIO(new ICacheReadBundle) 621d8f4dcbSJay val fromIMeta = Input(new ICacheMetaRespBundle) 631d8f4dcbSJay} 641d8f4dcbSJay 651d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{ 662da4ac8cSJenius val toIData = DecoupledIO(Vec(partWayNum, new ICacheReadBundle)) 671d8f4dcbSJay val fromIData = Input(new ICacheDataRespBundle) 681d8f4dcbSJay} 691d8f4dcbSJay 701d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{ 711d8f4dcbSJay val toMSHR = Decoupled(new ICacheMissReq) 721d8f4dcbSJay val fromMSHR = Flipped(ValidIO(new ICacheMissResp)) 731d8f4dcbSJay} 741d8f4dcbSJay 751d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{ 761d8f4dcbSJay val req = Valid(new PMPReqBundle()) 771d8f4dcbSJay val resp = Input(new PMPRespBundle()) 781d8f4dcbSJay} 791d8f4dcbSJay 801d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{ 811d8f4dcbSJay val only_0_hit = Bool() 821d8f4dcbSJay val only_0_miss = Bool() 831d8f4dcbSJay val hit_0_hit_1 = Bool() 841d8f4dcbSJay val hit_0_miss_1 = Bool() 851d8f4dcbSJay val miss_0_hit_1 = Bool() 861d8f4dcbSJay val miss_0_miss_1 = Bool() 87a108d429SJay val hit_0_except_1 = Bool() 88a108d429SJay val miss_0_except_1 = Bool() 89a108d429SJay val except_0 = Bool() 901d8f4dcbSJay val bank_hit = Vec(2,Bool()) 911d8f4dcbSJay val hit = Bool() 921d8f4dcbSJay} 931d8f4dcbSJay 941d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle { 95*f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 962a3050c2SJay /*** internal interface ***/ 971d8f4dcbSJay val metaArray = new ICacheMetaReqBundle 981d8f4dcbSJay val dataArray = new ICacheDataReqBundle 99b1ded4e8Sguohongyu /** prefetch io */ 100cb6e5d3cSssszwic val IPFBufferRead = Flipped(new IPFBufferRead) 101cb6e5d3cSssszwic val PIQRead = Flipped(new PIQRead) 102cb6e5d3cSssszwic 103cb6e5d3cSssszwic val IPFReplacer = Flipped(new IPFReplacer) 10458c354d0Sssszwic val ICacheMainPipeInfo = new ICacheMainPipeInfo 105b1ded4e8Sguohongyu 1061d8f4dcbSJay val mshr = Vec(PortNumber, new ICacheMSHRBundle) 10758dbdfc2SJay val errors = Output(Vec(PortNumber, new L1CacheErrorInfo)) 1082a3050c2SJay /*** outside interface ***/ 109c5c5edaeSJenius //val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 110c5c5edaeSJenius /* when ftq.valid is high in T + 1 cycle 111c5c5edaeSJenius * the ftq component must be valid in T cycle 112c5c5edaeSJenius */ 113c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 1141d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 115f1fe8698SLemover val itlb = Vec(PortNumber, new TlbRequestIO) 1161d8f4dcbSJay val respStall = Input(Bool()) 1171d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 11858dbdfc2SJay 119ecccf78fSJay val csr_parity_enable = Input(Bool()) 1201d8f4dcbSJay} 1211d8f4dcbSJay 122f9c51548Sssszwicclass ICacheDB(implicit p: Parameters) extends ICacheBundle { 123f9c51548Sssszwic val blk_vaddr = UInt((VAddrBits - blockOffBits).W) 124f9c51548Sssszwic val blk_paddr = UInt((PAddrBits - blockOffBits).W) 125f9c51548Sssszwic val hit = Bool() 126f9c51548Sssszwic} 127f9c51548Sssszwic 1281d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule 1291d8f4dcbSJay{ 1301d8f4dcbSJay val io = IO(new ICacheMainPipeInterface) 1311d8f4dcbSJay 13258dbdfc2SJay /** Input/Output port */ 133c5c5edaeSJenius val (fromFtq, toIFU) = (io.fetch.req, io.fetch.resp) 1342a3050c2SJay val (toMeta, metaResp) = (io.metaArray.toIMeta, io.metaArray.fromIMeta) 1352a3050c2SJay val (toData, dataResp) = (io.dataArray.toIData, io.dataArray.fromIData) 136cb6e5d3cSssszwic val (toIPF, fromIPF) = (io.IPFBufferRead.req, io.IPFBufferRead.resp) 137cb6e5d3cSssszwic val (toPIQ, fromPIQ) = (io.PIQRead.req, io.PIQRead.resp) 1381d8f4dcbSJay val (toMSHR, fromMSHR) = (io.mshr.map(_.toMSHR), io.mshr.map(_.fromMSHR)) 1391d8f4dcbSJay val (toITLB, fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp)) 1401d8f4dcbSJay val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 141cb6e5d3cSssszwic 142cb6e5d3cSssszwic val IPFReplacer = io.IPFReplacer 14358c354d0Sssszwic val toIPrefetch = io.ICacheMainPipeInfo 14458c354d0Sssszwic 14558c354d0Sssszwic 14658c354d0Sssszwic // Statistics on the frequency distribution of FTQ fire interval 14758c354d0Sssszwic val cntFtqFireInterval = RegInit(0.U(32.W)) 14858c354d0Sssszwic cntFtqFireInterval := Mux(fromFtq.fire, 1.U, cntFtqFireInterval + 1.U) 14958c354d0Sssszwic XSPerfHistogram("ftq2icache_fire_" + p(XSCoreParamsKey).HartId.toString, 15058c354d0Sssszwic cntFtqFireInterval, fromFtq.fire, 15158c354d0Sssszwic 1, 300, 1, right_strict = true) 152b1ded4e8Sguohongyu 153c5c5edaeSJenius // Ftq RegNext Register 154b004fa13SJenius val fromFtqReq = fromFtq.bits.pcMemRead 155c5c5edaeSJenius 15658dbdfc2SJay /** pipeline control signal */ 157f1fe8698SLemover val s1_ready, s2_ready = Wire(Bool()) 158f1fe8698SLemover val s0_fire, s1_fire , s2_fire = Wire(Bool()) 1591d8f4dcbSJay 1602a3050c2SJay /** 1612a3050c2SJay ****************************************************************************** 16258dbdfc2SJay * ICache Stage 0 16358dbdfc2SJay * - send req to ITLB and wait for tlb miss fixing 16458dbdfc2SJay * - send req to Meta/Data SRAM 1652a3050c2SJay ****************************************************************************** 1662a3050c2SJay */ 1672a3050c2SJay 16858dbdfc2SJay /** s0 control */ 169c5c5edaeSJenius val s0_valid = fromFtq.valid 170f56177cbSJenius val s0_req_vaddr = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart))) 171f56177cbSJenius val s0_req_vsetIdx = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr(i).map(get_idx(_)))) 172dc270d3bSJenius val s0_only_first = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && !fromFtqReq(i).crossCacheline) 173dc270d3bSJenius val s0_double_line = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline) 1741d8f4dcbSJay 175f1fe8698SLemover val s0_final_valid = s0_valid 176fd0ecf27SLingrui98 val s0_final_vaddr = s0_req_vaddr.head 177fd0ecf27SLingrui98 val s0_final_vsetIdx = s0_req_vsetIdx.head 178fd0ecf27SLingrui98 val s0_final_only_first = s0_only_first.head 179fd0ecf27SLingrui98 val s0_final_double_line = s0_double_line.head 18061e1db30SJay 18158dbdfc2SJay /** SRAM request */ 182a61a35e0Sssszwic // 0,1,2,3 -> dataArray(data); 3 -> dataArray(code); 0 -> metaArray; 4 -> itlb 183f56177cbSJenius val ftq_req_to_data_doubleline = s0_double_line.init 184f56177cbSJenius val ftq_req_to_data_vset_idx = s0_req_vsetIdx.init 185dc270d3bSJenius val ftq_req_to_data_valid = fromFtq.bits.readValid.init 186f56177cbSJenius 187f56177cbSJenius val ftq_req_to_meta_doubleline = s0_double_line.head 188f56177cbSJenius val ftq_req_to_meta_vset_idx = s0_req_vsetIdx.head 189a61a35e0Sssszwic val ftq_req_to_meta_valid = fromFtq.bits.readValid.head 190f56177cbSJenius 191f56177cbSJenius val ftq_req_to_itlb_only_first = s0_only_first.last 192f56177cbSJenius val ftq_req_to_itlb_doubleline = s0_double_line.last 193f56177cbSJenius val ftq_req_to_itlb_vaddr = s0_req_vaddr.last 194f56177cbSJenius val ftq_req_to_itlb_vset_idx = s0_req_vsetIdx.last 195f56177cbSJenius 196cb6e5d3cSssszwic /** Data request */ 197fd0ecf27SLingrui98 for(i <- 0 until partWayNum) { 198a61a35e0Sssszwic toData.valid := ftq_req_to_data_valid(i) 199f56177cbSJenius toData.bits(i).isDoubleLine := ftq_req_to_data_doubleline(i) 200f56177cbSJenius toData.bits(i).vSetIdx := ftq_req_to_data_vset_idx(i) 2011d8f4dcbSJay } 202afed18b5SJenius 203cb6e5d3cSssszwic /** Meta request */ 204a61a35e0Sssszwic toMeta.valid := ftq_req_to_meta_valid 205f56177cbSJenius toMeta.bits.isDoubleLine := ftq_req_to_meta_doubleline 206f56177cbSJenius toMeta.bits.vSetIdx := ftq_req_to_meta_vset_idx 207afed18b5SJenius 208cb6e5d3cSssszwic val toITLB_s0_valid = VecInit(Seq(s0_valid, s0_valid && ftq_req_to_itlb_doubleline)) 209cb6e5d3cSssszwic val toITLB_s0_size = VecInit(Seq(3.U, 3.U)) // TODO: fix the size 210cb6e5d3cSssszwic val toITLB_s0_vaddr = ftq_req_to_itlb_vaddr 211cb6e5d3cSssszwic val toITLB_s0_debug_pc = ftq_req_to_itlb_vaddr 2122a3050c2SJay 213f1fe8698SLemover val itlb_can_go = toITLB(0).ready && toITLB(1).ready 214afed18b5SJenius val icache_can_go = toData.ready && toMeta.ready 215a61a35e0Sssszwic val pipe_can_go = s1_ready 216f1fe8698SLemover val s0_can_go = itlb_can_go && icache_can_go && pipe_can_go 217cb6e5d3cSssszwic s0_fire := s0_valid && s0_can_go 2187052722fSJay 2197052722fSJay //TODO: fix GTimer() condition 220c5c5edaeSJenius fromFtq.ready := s0_can_go 221f1fe8698SLemover 2222a3050c2SJay /** 2232a3050c2SJay ****************************************************************************** 22458dbdfc2SJay * ICache Stage 1 22558dbdfc2SJay * - get tlb resp data (exceptiong info and physical addresses) 22658dbdfc2SJay * - get Meta/Data SRAM read responses (latched for pipeline stop) 22758dbdfc2SJay * - tag compare/hit check 228cb6e5d3cSssszwic * - check ipf and piq 2292a3050c2SJay ****************************************************************************** 2302a3050c2SJay */ 2311d8f4dcbSJay 23258dbdfc2SJay /** s1 control */ 233f1fe8698SLemover val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = false.B, lastFlush = false.B) 2341d8f4dcbSJay 235005e809bSJiuyang Liu val s1_req_vaddr = RegEnable(s0_final_vaddr, s0_fire) 236005e809bSJiuyang Liu val s1_req_vsetIdx = RegEnable(s0_final_vsetIdx, s0_fire) 237005e809bSJiuyang Liu val s1_double_line = RegEnable(s0_final_double_line, s0_fire) 238cb6e5d3cSssszwic 239cb6e5d3cSssszwic /** tlb request and response */ 240cb6e5d3cSssszwic fromITLB.foreach(_.ready := true.B) 241cb6e5d3cSssszwic val s1_wait_itlb = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 242cb6e5d3cSssszwic 243cb6e5d3cSssszwic (0 until PortNumber).foreach { i => 244cb6e5d3cSssszwic when(RegNext(s0_fire) && fromITLB(i).bits.miss) { 245cb6e5d3cSssszwic s1_wait_itlb(i) := true.B 246cb6e5d3cSssszwic }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) { 247cb6e5d3cSssszwic s1_wait_itlb(i) := false.B 248cb6e5d3cSssszwic } 249cb6e5d3cSssszwic } 250cb6e5d3cSssszwic 251cb6e5d3cSssszwic val s1_need_itlb = Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss, 252cb6e5d3cSssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_double_line) 253cb6e5d3cSssszwic val toITLB_s1_valid = s1_need_itlb 254cb6e5d3cSssszwic val toITLB_s1_size = VecInit(Seq(3.U, 3.U)) // TODO: fix the size 255cb6e5d3cSssszwic val toITLB_s1_vaddr = s1_req_vaddr 256cb6e5d3cSssszwic val toITLB_s1_debug_pc = s1_req_vaddr 257cb6e5d3cSssszwic 258cb6e5d3cSssszwic // chose tlb req between s0 and s1 259cb6e5d3cSssszwic for (i <- 0 until PortNumber) { 260cb6e5d3cSssszwic toITLB(i).valid := Mux(s1_need_itlb(i), toITLB_s1_valid(i), toITLB_s0_valid(i)) 261cb6e5d3cSssszwic toITLB(i).bits.size := Mux(s1_need_itlb(i), toITLB_s1_size(i), toITLB_s0_size(i)) 262cb6e5d3cSssszwic toITLB(i).bits.vaddr := Mux(s1_need_itlb(i), toITLB_s1_vaddr(i), toITLB_s0_vaddr(i)) 263cb6e5d3cSssszwic toITLB(i).bits.debug.pc := Mux(s1_need_itlb(i), toITLB_s1_debug_pc(i), toITLB_s0_debug_pc(i)) 264cb6e5d3cSssszwic } 265cb6e5d3cSssszwic toITLB.map{port => 266cb6e5d3cSssszwic port.bits.cmd := TlbCmd.exec 267cb6e5d3cSssszwic port.bits.memidx := DontCare 268cb6e5d3cSssszwic port.bits.debug.robIdx := DontCare 269cb6e5d3cSssszwic port.bits.no_translate := false.B 270cb6e5d3cSssszwic port.bits.debug.isFirstIssue := DontCare 271cb6e5d3cSssszwic port.bits.kill := DontCare 272cb6e5d3cSssszwic } 273cb6e5d3cSssszwic io.itlb.foreach(_.req_kill := false.B) 2741d8f4dcbSJay 27558dbdfc2SJay /** tlb response latch for pipeline stop */ 276cb6e5d3cSssszwic // val tlb_valid_tmp = VecInit((0 until PortNumber).map(i => 277cb6e5d3cSssszwic // (RegNext(s0_fire) || s1_wait_itlb(i)) && !fromITLB(i).bits.miss)) 278cb6e5d3cSssszwic val tlb_valid_tmp = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss, 279cb6e5d3cSssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_double_line)) 280cb6e5d3cSssszwic val tlbRespPAddr = VecInit((0 until PortNumber).map(i => 281cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.paddr(0)))) 282cb6e5d3cSssszwic val tlbExcpPF = VecInit((0 until PortNumber).map(i => 283cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).pf.instr))) 284cb6e5d3cSssszwic val tlbExcpAF = VecInit((0 until PortNumber).map(i => 285cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).af.instr))) 286cb6e5d3cSssszwic val tlbExcp = VecInit((0 until PortNumber).map(i => tlbExcpAF(i) || tlbExcpPF(i))) 2871d8f4dcbSJay 288cb6e5d3cSssszwic val s1_tlb_valid = VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_tmp(i), s1_fire))) 289cb6e5d3cSssszwic val tlbRespAllValid = s1_tlb_valid(0) && (!s1_double_line || s1_double_line && s1_tlb_valid(1)) 2902a3050c2SJay 2911d8f4dcbSJay 292d2b20d1aSTang Haojin def numOfStage = 3 293d2b20d1aSTang Haojin val itlbMissStage = RegInit(VecInit(Seq.fill(numOfStage - 1)(0.B))) 294d2b20d1aSTang Haojin itlbMissStage(0) := !tlbRespAllValid 295d2b20d1aSTang Haojin for (i <- 1 until numOfStage - 1) { 296d2b20d1aSTang Haojin itlbMissStage(i) := itlbMissStage(i - 1) 297d2b20d1aSTang Haojin } 298d2b20d1aSTang Haojin 29958dbdfc2SJay /** s1 hit check/tag compare */ 3001d8f4dcbSJay val s1_req_paddr = tlbRespPAddr 3011d8f4dcbSJay val s1_req_ptags = VecInit(s1_req_paddr.map(get_phy_tag(_))) 3021d8f4dcbSJay 303ccfc2e22SJay val s1_meta_ptags = ResultHoldBypass(data = metaResp.tags, valid = RegNext(s0_fire)) 30460672d5eSguohongyu val s1_meta_valids = ResultHoldBypass(data = metaResp.entryValid, valid = RegNext(s0_fire)) 30558dbdfc2SJay val s1_meta_errors = ResultHoldBypass(data = metaResp.errors, valid = RegNext(s0_fire)) 30658dbdfc2SJay 307ccfc2e22SJay val s1_data_cacheline = ResultHoldBypass(data = dataResp.datas, valid = RegNext(s0_fire)) 30879b191f7SJay val s1_data_errorBits = ResultHoldBypass(data = dataResp.codes, valid = RegNext(s0_fire)) 3091d8f4dcbSJay 3101d8f4dcbSJay val s1_tag_eq_vec = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w => s1_meta_ptags(p)(w) === s1_req_ptags(p))))) 311a61a35e0Sssszwic val s1_tag_match_vec = VecInit((0 until PortNumber).map( k => VecInit(s1_tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w)}))) 3121d8f4dcbSJay val s1_tag_match = VecInit(s1_tag_match_vec.map(vector => ParallelOR(vector))) 3131d8f4dcbSJay 314a61a35e0Sssszwic val s1_port_hit = VecInit(Seq(s1_tag_match(0) && s1_valid, s1_tag_match(1) && s1_valid && s1_double_line)) 3151d8f4dcbSJay 3161d8f4dcbSJay /** choose victim cacheline */ 3172f4a98abSssszwic val bank_vsetIdx = VecInit((0 until PortNumber).map( i => Mux(s1_req_vsetIdx(i)(0), s1_req_vsetIdx(1)(highestIdxBit, 1), s1_req_vsetIdx(0)(highestIdxBit, 1)))) 3185b0cc873Sguohongyu val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber)) 3192f4a98abSssszwic val bank_victim_oh = ResultHoldBypass(data = VecInit(replacers.zipWithIndex.map{case (replacer, i) => UIntToOH(replacer.way(bank_vsetIdx(i)))}), valid = RegNext(s0_fire)) 3202f4a98abSssszwic val s1_victim_oh = VecInit((0 until PortNumber).map( i => Mux(s1_req_vsetIdx(i)(0), bank_victim_oh(1), bank_victim_oh(0)))) 3211d8f4dcbSJay 3222f4a98abSssszwic when(s1_fire){ 3232f4a98abSssszwic assert(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line), 3242f4a98abSssszwic "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ", 3252f4a98abSssszwic PopCount(s1_tag_match_vec(0)) > 1.U,s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0), 3262f4a98abSssszwic PopCount(s1_tag_match_vec(1)) > 1.U && s1_double_line, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1)) 3272f4a98abSssszwic } 3281d8f4dcbSJay 329cb6e5d3cSssszwic /** check ipf, get result at the same cycle */ 330b1ded4e8Sguohongyu (0 until PortNumber).foreach { i => 331cb6e5d3cSssszwic toIPF(i).valid := tlb_valid_tmp(i) 332b1ded4e8Sguohongyu toIPF(i).bits.paddr := s1_req_paddr(i) 333b1ded4e8Sguohongyu } 334cb6e5d3cSssszwic val s1_ipf_hit = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromIPF(i).ipf_hit)) 335cb6e5d3cSssszwic val s1_ipf_hit_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_ipf_hit(i), release = s1_fire, flush = false.B))) 336cb6e5d3cSssszwic val s1_ipf_data = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromIPF(i).cacheline, valid = s1_ipf_hit(i)))) 337b1ded4e8Sguohongyu 338b1ded4e8Sguohongyu /** check in PIQ, if hit, wait until prefetch port hit */ 339cb6e5d3cSssszwic (0 until PortNumber).foreach { i => 340cb6e5d3cSssszwic toPIQ(i).valid := tlb_valid_tmp(i) 341cb6e5d3cSssszwic toPIQ(i).bits.paddr := s1_req_paddr(i) 342b1ded4e8Sguohongyu } 343cb6e5d3cSssszwic val s1_piq_hit = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit)) 344cb6e5d3cSssszwic val s1_piq_hit_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_piq_hit(i), release = s1_fire, flush = false.B))) 345cb6e5d3cSssszwic val wait_piq = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit && !fromPIQ(i).data_valid)) 346cb6e5d3cSssszwic val wait_piq_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = wait_piq(i), release = s1_fire || fromPIQ(i).data_valid, flush = false.B))) 347cb6e5d3cSssszwic val s1_piq_data = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromPIQ(i).cacheline, valid = (s1_piq_hit(i) || wait_piq_latch(i)) && fromPIQ(i).data_valid))) 348b1ded4e8Sguohongyu 349cb6e5d3cSssszwic val s1_wait = (0 until PortNumber).map(i => wait_piq_latch(i) && !fromPIQ(i).data_valid).reduce(_||_) 350b1ded4e8Sguohongyu 351cb6e5d3cSssszwic val s1_prefetch_hit = VecInit((0 until PortNumber).map(i => s1_ipf_hit_latch(i) || s1_piq_hit_latch(i))) 352cb6e5d3cSssszwic val s1_prefetch_hit_data = VecInit((0 until PortNumber).map(i => Mux(s1_ipf_hit_latch(i), s1_ipf_data(i), s1_piq_data(i)))) 353cb6e5d3cSssszwic 354cb6e5d3cSssszwic s1_ready := s2_ready && tlbRespAllValid && !s1_wait || !s1_valid 355cb6e5d3cSssszwic s1_fire := s1_valid && tlbRespAllValid && s2_ready && !s1_wait 356b1ded4e8Sguohongyu 357f9c51548Sssszwic // record cacheline log 358f9c51548Sssszwic val isWriteICacheTable = WireInit(Constantin.createRecord("isWriteICacheTable" + p(XSCoreParamsKey).HartId.toString)) 359f9c51548Sssszwic val ICacheTable = ChiselDB.createTable("ICacheTable" + p(XSCoreParamsKey).HartId.toString, new ICacheDB) 360f9c51548Sssszwic 361f9c51548Sssszwic val ICacheDumpData_req0 = Wire(new ICacheDB) 362f9c51548Sssszwic ICacheDumpData_req0.blk_paddr := getBlkAddr(s1_req_paddr(0)) 363f9c51548Sssszwic ICacheDumpData_req0.blk_vaddr := getBlkAddr(s1_req_vaddr(0)) 364f9c51548Sssszwic ICacheDumpData_req0.hit := s1_port_hit(0) || s1_prefetch_hit(0) 365f9c51548Sssszwic ICacheTable.log( 366f9c51548Sssszwic data = ICacheDumpData_req0, 367f9c51548Sssszwic en = isWriteICacheTable.orR && s1_fire, 368f9c51548Sssszwic clock = clock, 369f9c51548Sssszwic reset = reset 370f9c51548Sssszwic ) 371f9c51548Sssszwic 372f9c51548Sssszwic val ICacheDumpData_req1 = Wire(new ICacheDB) 373f9c51548Sssszwic ICacheDumpData_req1.blk_paddr := getBlkAddr(s1_req_paddr(1)) 374f9c51548Sssszwic ICacheDumpData_req1.blk_vaddr := getBlkAddr(s1_req_vaddr(1)) 375f9c51548Sssszwic ICacheDumpData_req1.hit := s1_port_hit(1) || s1_prefetch_hit(1) 376f9c51548Sssszwic ICacheTable.log( 377f9c51548Sssszwic data = ICacheDumpData_req1, 378f9c51548Sssszwic en = isWriteICacheTable.orR && s1_fire && s1_double_line, 379f9c51548Sssszwic clock = clock, 380f9c51548Sssszwic reset = reset 381f9c51548Sssszwic ) 382f9c51548Sssszwic 38358dbdfc2SJay /** <PERF> replace victim way number */ 38458dbdfc2SJay 3851d8f4dcbSJay (0 until nWays).map{ w => 3861d8f4dcbSJay XSPerfAccumulate("line_0_hit_way_" + Integer.toString(w, 10), s1_fire && s1_port_hit(0) && OHToUInt(s1_tag_match_vec(0)) === w.U) 3871d8f4dcbSJay } 3881d8f4dcbSJay 3891d8f4dcbSJay (0 until nWays).map{ w => 3901d8f4dcbSJay XSPerfAccumulate("line_0_victim_way_" + Integer.toString(w, 10), s1_fire && !s1_port_hit(0) && OHToUInt(s1_victim_oh(0)) === w.U) 3911d8f4dcbSJay } 3921d8f4dcbSJay 3931d8f4dcbSJay (0 until nWays).map{ w => 3941d8f4dcbSJay XSPerfAccumulate("line_1_hit_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && s1_port_hit(1) && OHToUInt(s1_tag_match_vec(1)) === w.U) 3951d8f4dcbSJay } 3961d8f4dcbSJay 3971d8f4dcbSJay (0 until nWays).map{ w => 3981d8f4dcbSJay XSPerfAccumulate("line_1_victim_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && !s1_port_hit(1) && OHToUInt(s1_victim_oh(1)) === w.U) 3991d8f4dcbSJay } 4001d8f4dcbSJay 401b1ded4e8Sguohongyu XSPerfAccumulate("mainPipe_stage1_block_by_piq_cycles", s1_valid && s1_wait) 402b1ded4e8Sguohongyu 4032a3050c2SJay /** 4042a3050c2SJay ****************************************************************************** 40558dbdfc2SJay * ICache Stage 2 40658dbdfc2SJay * - send request to MSHR if ICache miss 40758dbdfc2SJay * - generate secondary miss status/data registers 40858dbdfc2SJay * - response to IFU 4092a3050c2SJay ****************************************************************************** 4102a3050c2SJay */ 41158dbdfc2SJay 41258dbdfc2SJay /** s2 control */ 4131d8f4dcbSJay val s2_fetch_finish = Wire(Bool()) 4141d8f4dcbSJay 415f1fe8698SLemover val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = false.B, lastFlush = false.B) 4161d8f4dcbSJay 417a61a35e0Sssszwic s2_ready := (s2_valid && s2_fetch_finish && !io.respStall) || !s2_valid 4181d8f4dcbSJay s2_fire := s2_valid && s2_fetch_finish && !io.respStall 4191d8f4dcbSJay 42058dbdfc2SJay /** s2 data */ 421cb6e5d3cSssszwic // val mmio = fromPMP.map(port => port.mmio) // TODO: handle it 422005e809bSJiuyang Liu val (s2_req_paddr , s2_req_vaddr) = (RegEnable(s1_req_paddr, s1_fire), RegEnable(s1_req_vaddr, s1_fire)) 423005e809bSJiuyang Liu val s2_req_vsetIdx = RegEnable(s1_req_vsetIdx, s1_fire) 424005e809bSJiuyang Liu val s2_req_ptags = RegEnable(s1_req_ptags, s1_fire) 425005e809bSJiuyang Liu val s2_double_line = RegEnable(s1_double_line, s1_fire) 426005e809bSJiuyang Liu val s2_port_hit = RegEnable(s1_port_hit, s1_fire) 427005e809bSJiuyang Liu val s2_waymask = RegEnable(s1_victim_oh, s1_fire) 428005e809bSJiuyang Liu val s2_tag_match_vec = RegEnable(s1_tag_match_vec, s1_fire) 4291d8f4dcbSJay 430a61a35e0Sssszwic val s2_meta_errors = RegEnable(s1_meta_errors, s1_fire) 431a61a35e0Sssszwic val s2_data_errorBits = RegEnable(s1_data_errorBits, s1_fire) 432a61a35e0Sssszwic val s2_data_cacheline = RegEnable(s1_data_cacheline, s1_fire) 433d2b20d1aSTang Haojin 43458c354d0Sssszwic /** send req info of s1 and s2 to IPrefetchPipe for filter request */ 43558c354d0Sssszwic toIPrefetch.s1Info(0).paddr := s1_req_paddr(0) 43658c354d0Sssszwic toIPrefetch.s1Info(0).valid := s1_valid 43758c354d0Sssszwic toIPrefetch.s1Info(1).paddr := s1_req_paddr(1) 43858c354d0Sssszwic toIPrefetch.s1Info(1).valid := s1_valid && s1_double_line 43958c354d0Sssszwic toIPrefetch.s2Info(0).paddr := s2_req_paddr(0) 44058c354d0Sssszwic toIPrefetch.s2Info(0).valid := s2_valid 44158c354d0Sssszwic toIPrefetch.s2Info(1).paddr := s2_req_paddr(1) 44258c354d0Sssszwic toIPrefetch.s2Info(1).valid := s2_valid && s2_double_line 44358c354d0Sssszwic 444f1fe8698SLemover assert(RegNext(!s2_valid || s2_req_paddr(0)(11,0) === s2_req_vaddr(0)(11,0), true.B)) 445f1fe8698SLemover 446a61a35e0Sssszwic /** 447a61a35e0Sssszwic ****************************************************************************** 448a61a35e0Sssszwic * tlb exception and pmp logic 449a61a35e0Sssszwic ****************************************************************************** 450a61a35e0Sssszwic */ 451a61a35e0Sssszwic // short delay exception signal 452a61a35e0Sssszwic val s2_except_tlb_pf = RegEnable(tlbExcpPF, s1_fire) 453a61a35e0Sssszwic val s2_except_tlb_af = RegEnable(tlbExcpAF, s1_fire) 454a61a35e0Sssszwic val s2_except_tlb = VecInit(Seq(s2_except_tlb_pf(0) || s2_except_tlb_af(0), s2_double_line && (s2_except_tlb_pf(1) || s2_except_tlb_af(1)))) 455a61a35e0Sssszwic val s2_has_except_tlb = s2_valid && s2_except_tlb.reduce(_||_) 456a61a35e0Sssszwic // long delay exception signal 457a61a35e0Sssszwic // exception information and mmio 458a61a35e0Sssszwic val pmpExcpAF = VecInit(Seq(fromPMP(0).instr, fromPMP(1).instr && s2_double_line)) 459a61a35e0Sssszwic val s2_except_pmp_af = DataHoldBypass(pmpExcpAF, RegNext(s1_fire)) 460a61a35e0Sssszwic val s2_mmio = s2_valid && DataHoldBypass(fromPMP(0).mmio && !s2_except_tlb(0) && !s2_except_pmp_af(0), RegNext(s1_fire)).asBool 461a61a35e0Sssszwic // pmp port 462a61a35e0Sssszwic toPMP.zipWithIndex.map { case (p, i) => 463a61a35e0Sssszwic p.valid := s2_valid 464a61a35e0Sssszwic p.bits.addr := s2_req_paddr(i) 465a61a35e0Sssszwic p.bits.size := 3.U // TODO 466a61a35e0Sssszwic p.bits.cmd := TlbCmd.exec 467a61a35e0Sssszwic } 4681d8f4dcbSJay 469a61a35e0Sssszwic /** 470a61a35e0Sssszwic ****************************************************************************** 471a61a35e0Sssszwic * look last miss data 472a61a35e0Sssszwic ****************************************************************************** 473a61a35e0Sssszwic */ 474a61a35e0Sssszwic class MissSlot(implicit p: Parameters) extends ICacheBundle { 475a61a35e0Sssszwic val paddr = RegInit(0.U(PAddrBits.W)) 476a61a35e0Sssszwic val vSetIdx = RegInit(0.U(idxBits.W)) 477a61a35e0Sssszwic val waymask = RegInit(0.U(nWays.W)) 478a61a35e0Sssszwic val data = RegInit(0.U(blockBits.W)) 479a61a35e0Sssszwic val corrupt = RegInit(false.B) 480a61a35e0Sssszwic val finish = RegInit(true.B) 481a61a35e0Sssszwic val valid = RegInit(false.B) 482a61a35e0Sssszwic def data_vec = data.asTypeOf(Vec(2, UInt((blockBits/2).W))) 483a61a35e0Sssszwic def pTag = get_phy_tag(paddr) 484a61a35e0Sssszwic } 485a61a35e0Sssszwic val missSlot = Seq.fill(2)(new MissSlot) 48679b191f7SJay 487a61a35e0Sssszwic // whether hit in last miss req 488a61a35e0Sssszwic def getMissSituat(missNum : Int, slotNum : Int ) :Bool = { 489a61a35e0Sssszwic (missSlot(slotNum).vSetIdx === s1_req_vsetIdx(missNum)) && 490a61a35e0Sssszwic (missSlot(slotNum).pTag === s1_req_ptags(missNum)) && 491a61a35e0Sssszwic !missSlot(slotNum).corrupt && missSlot(slotNum).finish && 492a61a35e0Sssszwic missSlot(slotNum).valid 493a61a35e0Sssszwic } 494a61a35e0Sssszwic 495a61a35e0Sssszwic // s2_hit_slot(0)(1): port 0 hit slot 1 496a61a35e0Sssszwic // Use the signal of S1 to make a judgment for timing, the value of missSlot has benn set when s1 fire 497a61a35e0Sssszwic val s1_hit_slot_vec = VecInit((0 until PortNumber).map(port => VecInit((0 until PortNumber).map(getMissSituat(port, _))))) 498a61a35e0Sssszwic val s2_hit_slot_vec = RegEnable(s1_hit_slot_vec, s1_fire) 499a61a35e0Sssszwic 500a61a35e0Sssszwic // select one from two missSlots to handle miss for every port 501a61a35e0Sssszwic // slot(0) hit && slot(1) hit : don't case 502a61a35e0Sssszwic // slot(0) hit && slot(1) miss: (a) missed port(0) -> slot(1); (b) missed port(1) -> slot(1) 503a61a35e0Sssszwic // slot(0) miss && slot(1) hit : (a) missed port(0) -> slot(0); (b) missed port(1) -> slot(0) 504a61a35e0Sssszwic // slot(0) miss && slot(1) miss: missed port(0) -> slot(0) missed port(1) -> slot(1) 505a61a35e0Sssszwic val s1_curr_slot_id = Wire(Vec(2, Bool())) 506a61a35e0Sssszwic s1_curr_slot_id(0) := s1_hit_slot_vec(0)(0) || s1_hit_slot_vec(1)(0) 507a61a35e0Sssszwic s1_curr_slot_id(1) := !(s1_hit_slot_vec(0)(1) || s1_hit_slot_vec(1)(1)) 508a61a35e0Sssszwic val s2_curr_slot_id = RegEnable(s1_curr_slot_id, s1_fire) 509a61a35e0Sssszwic 510a61a35e0Sssszwic /** 511a61a35e0Sssszwic ****************************************************************************** 512a61a35e0Sssszwic * miss handle 513a61a35e0Sssszwic ****************************************************************************** 514a61a35e0Sssszwic */ 515a61a35e0Sssszwic val s2_hit_slot = VecInit(s2_hit_slot_vec.map(_.asUInt.orR)) 516a61a35e0Sssszwic val s2_fixed_port_hit = VecInit((0 until PortNumber).map(port => s2_port_hit(port) || s2_hit_slot(port))) 517a61a35e0Sssszwic 518a61a35e0Sssszwic // only handle port0 miss when port1 have tlb except or pmp except 519a61a35e0Sssszwic val s2_port_miss = Wire(Vec(PortNumber, Bool())) 520a61a35e0Sssszwic 521a61a35e0Sssszwic s2_port_miss(0) := !s2_fixed_port_hit(0) && !s2_except_tlb(0) && !s2_except_pmp_af(0) && !s2_mmio 522a61a35e0Sssszwic s2_port_miss(1) := !s2_fixed_port_hit(1) && s2_double_line && !s2_except_tlb(0) && !s2_except_tlb(1) && 523a61a35e0Sssszwic !s2_except_pmp_af(0) && !s2_except_pmp_af(1) && !s2_mmio 524a61a35e0Sssszwic 525a61a35e0Sssszwic (0 until PortNumber).map{ i => 526a61a35e0Sssszwic when(s2_port_miss(i) && RegNext(s1_fire)) { 527a61a35e0Sssszwic when(s2_curr_slot_id(i)) { 528a61a35e0Sssszwic missSlot(1).vSetIdx := s2_req_vsetIdx(i) 529a61a35e0Sssszwic missSlot(1).paddr := s2_req_paddr(i) 530a61a35e0Sssszwic missSlot(1).waymask := s2_waymask(i) 531a61a35e0Sssszwic missSlot(1).finish := false.B 532a61a35e0Sssszwic missSlot(1).valid := true.B 533a61a35e0Sssszwic }.otherwise { 534a61a35e0Sssszwic missSlot(0).vSetIdx := s2_req_vsetIdx(i) 535a61a35e0Sssszwic missSlot(0).paddr := s2_req_paddr(i) 536a61a35e0Sssszwic missSlot(0).waymask := s2_waymask(i) 537a61a35e0Sssszwic missSlot(0).finish := false.B 538a61a35e0Sssszwic missSlot(0).valid := true.B 539a61a35e0Sssszwic } 540a61a35e0Sssszwic } 541a61a35e0Sssszwic } 542a61a35e0Sssszwic 543a61a35e0Sssszwic // which missSlot need to be issued 544a61a35e0Sssszwic val s2_missSlot_issue = Wire(Vec(2, Bool())) 545a61a35e0Sssszwic s2_missSlot_issue(0) := (s2_port_miss(0) && !s2_curr_slot_id(0)) || (s2_port_miss(1) && !s2_curr_slot_id(1)) 546a61a35e0Sssszwic s2_missSlot_issue(1) := (s2_port_miss(0) && s2_curr_slot_id(0)) || (s2_port_miss(1) && s2_curr_slot_id(1)) 547a61a35e0Sssszwic 548a61a35e0Sssszwic // state machine 549a61a35e0Sssszwic val m_idle ::m_send_req :: m_wait_resp :: Nil = Enum(3) 550a61a35e0Sssszwic val missStateQueue = RegInit(VecInit(Seq.fill(2)(m_idle))) 551a61a35e0Sssszwic 552a61a35e0Sssszwic (0 until PortNumber).map{ i => 553a61a35e0Sssszwic switch(missStateQueue(i)){ 554a61a35e0Sssszwic is(m_idle) { 555a61a35e0Sssszwic missStateQueue(i) := Mux(RegNext(s1_fire) && s2_missSlot_issue(i), m_send_req, m_idle) 556a61a35e0Sssszwic } 557a61a35e0Sssszwic is(m_send_req) { 558a61a35e0Sssszwic missStateQueue(i) := Mux(toMSHR(i).fire, m_wait_resp, m_send_req) 559a61a35e0Sssszwic } 560a61a35e0Sssszwic is(m_wait_resp) { 561a61a35e0Sssszwic missStateQueue(i) := Mux(fromMSHR(i).fire, m_idle, m_wait_resp) 562a61a35e0Sssszwic } 563a61a35e0Sssszwic } 564a61a35e0Sssszwic } 565a61a35e0Sssszwic 566a61a35e0Sssszwic // send req to MSHR 567a61a35e0Sssszwic (0 until PortNumber).map{i => 568a61a35e0Sssszwic toMSHR(i).valid := missStateQueue(i) === m_send_req 569a61a35e0Sssszwic toMSHR(i).bits.paddr := missSlot(i).paddr 570a61a35e0Sssszwic toMSHR(i).bits.vSetIdx := missSlot(i).vSetIdx 571a61a35e0Sssszwic toMSHR(i).bits.waymask := missSlot(i).waymask 572a61a35e0Sssszwic } 573a61a35e0Sssszwic 574a61a35e0Sssszwic // recrive resp from MSHR to update missSlot 575a61a35e0Sssszwic (0 until PortNumber).map{ i => 576a61a35e0Sssszwic when((missStateQueue(i) === m_wait_resp) && fromMSHR(i).fire) { 577a61a35e0Sssszwic missSlot(i).finish := true.B 578a61a35e0Sssszwic missSlot(i).data := fromMSHR(i).bits.data 579a61a35e0Sssszwic missSlot(i).corrupt := fromMSHR(i).bits.corrupt 580a61a35e0Sssszwic } 581a61a35e0Sssszwic } 582a61a35e0Sssszwic 583a61a35e0Sssszwic // handle miss finish 584a61a35e0Sssszwic s2_fetch_finish := (!s2_port_miss(0) && !s2_port_miss(1)) || (missSlot(0).finish && missSlot(1).finish && !RegNext(s1_fire)) 585a61a35e0Sssszwic 586a61a35e0Sssszwic /** 587a61a35e0Sssszwic ****************************************************************************** 588a61a35e0Sssszwic * select data from hitted sram data, last missSlot and current missSlot 589a61a35e0Sssszwic ****************************************************************************** 590a61a35e0Sssszwic */ 591a61a35e0Sssszwic val s2_hit_datas = Wire(Vec(2, UInt((blockBits/2).W))) 592a61a35e0Sssszwic s2_hit_datas(0) := Mux1H(s2_tag_match_vec(0).asUInt, s2_data_cacheline(0)) 593a61a35e0Sssszwic s2_hit_datas(1) := Mux1H(Mux(s2_double_line, s2_tag_match_vec(1).asUInt, s2_tag_match_vec(0).asUInt), s2_data_cacheline(1)) 594a61a35e0Sssszwic 595a61a35e0Sssszwic // get cacheline from last slot 596a61a35e0Sssszwic val s2_last_slot_cacheline = (0 until PortNumber).map(port => Mux1H(s2_hit_slot_vec(port).asUInt, missSlot.map(_.data_vec))) 597a61a35e0Sssszwic // get cacheline from curr slot 598a61a35e0Sssszwic val s2_curr_slot_cacheline = (0 until PortNumber).map(port => Mux(s2_curr_slot_id(port), missSlot(1).data_vec, missSlot(0).data_vec)) 599a61a35e0Sssszwic val s2_slot_cacheline = (0 until PortNumber).map(port => Mux(s2_hit_slot(port), s2_last_slot_cacheline(port), s2_curr_slot_cacheline(port))) 600a61a35e0Sssszwic val s2_slot_data = Wire(Vec(PortNumber, UInt((blockBits/2).W))) 601a61a35e0Sssszwic s2_slot_data(0) := Mux(s2_double_line, s2_slot_cacheline(0)(1), s2_slot_cacheline(0)(0)) 602a61a35e0Sssszwic s2_slot_data(1) := Mux(s2_double_line, s2_slot_cacheline(1)(0), s2_slot_cacheline(0)(1)) 603a61a35e0Sssszwic 604a61a35e0Sssszwic val s2_fetch_data = Wire(Vec(2, UInt((blockBits/2).W))) 605a61a35e0Sssszwic s2_fetch_data(0) := Mux(s2_port_hit(0), s2_hit_datas(0), s2_slot_data(0)) 606a61a35e0Sssszwic s2_fetch_data(1) := Mux(s2_port_hit(1) || (s2_port_hit(0) && !s2_double_line), s2_hit_datas(1), s2_slot_data(1)) 607a61a35e0Sssszwic 608a61a35e0Sssszwic val s2_corrupt = (0 until PortNumber).map(port => s2_port_miss(port) && Mux(s2_curr_slot_id(port), missSlot(1).corrupt, missSlot(0).corrupt)) 609a61a35e0Sssszwic 610a61a35e0Sssszwic /** 611a61a35e0Sssszwic ****************************************************************************** 612a61a35e0Sssszwic * IFU data resp 613a61a35e0Sssszwic ****************************************************************************** 614a61a35e0Sssszwic */ 615a61a35e0Sssszwic (0 until PortNumber).map{ i => 616a61a35e0Sssszwic if(i ==0) toIFU(i).valid := s2_fire 617a61a35e0Sssszwic else toIFU(i).valid := s2_fire && s2_double_line 618a61a35e0Sssszwic toIFU(i).bits.paddr := s2_req_paddr(i) 619a61a35e0Sssszwic toIFU(i).bits.vaddr := s2_req_vaddr(i) 620a61a35e0Sssszwic toIFU(i).bits.data := s2_fetch_data(i) 621a61a35e0Sssszwic toIFU(i).bits.tlbExcp.pageFault := s2_except_tlb_pf(i) 622a61a35e0Sssszwic toIFU(i).bits.tlbExcp.accessFault := s2_except_tlb_af(i) || s2_corrupt(i) || s2_except_pmp_af(i) 623a61a35e0Sssszwic toIFU(i).bits.tlbExcp.mmio := s2_mmio 624a61a35e0Sssszwic } 625a61a35e0Sssszwic 626a61a35e0Sssszwic /** 627a61a35e0Sssszwic ****************************************************************************** 628a61a35e0Sssszwic * error resp: MSHR error 629a61a35e0Sssszwic ****************************************************************************** 630a61a35e0Sssszwic */ 631a61a35e0Sssszwic // data/meta parity error 63279b191f7SJay val s2_data_errors = Wire(Vec(PortNumber,Vec(nWays, Bool()))) 63379b191f7SJay (0 until PortNumber).map{ i => 63479b191f7SJay val read_datas = s2_data_cacheline(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeUnit.W)))) 63579b191f7SJay val read_codes = s2_data_errorBits(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeBits.W)))) 63679b191f7SJay val data_full_wayBits = VecInit((0 until nWays).map( w => 63779b191f7SJay VecInit((0 until dataCodeUnitNum).map( u => 63879b191f7SJay Cat(read_codes(w)(u), read_datas(w)(u)))))) 63979b191f7SJay val data_error_wayBits = VecInit((0 until nWays).map( w => 64079b191f7SJay VecInit((0 until dataCodeUnitNum).map( u => 64179b191f7SJay cacheParams.dataCode.decode(data_full_wayBits(w)(u)).error)))) 642a61a35e0Sssszwic // register for timing 64379b191f7SJay if(i == 0){ 64479b191f7SJay (0 until nWays).map{ w => 64579b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(data_error_wayBits(w)).reduce(_||_) 64679b191f7SJay } 64779b191f7SJay } else { 64879b191f7SJay (0 until nWays).map{ w => 64979b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(RegNext(s1_double_line)) && RegNext(data_error_wayBits(w)).reduce(_||_) 65079b191f7SJay } 65179b191f7SJay } 65279b191f7SJay } 65379b191f7SJay 65479b191f7SJay val s2_parity_meta_error = VecInit((0 until PortNumber).map(i => s2_meta_errors(i).reduce(_||_) && io.csr_parity_enable)) 65579b191f7SJay val s2_parity_data_error = VecInit((0 until PortNumber).map(i => s2_data_errors(i).reduce(_||_) && io.csr_parity_enable)) 65679b191f7SJay val s2_parity_error = VecInit((0 until PortNumber).map(i => RegNext(s2_parity_meta_error(i)) || s2_parity_data_error(i))) 65779b191f7SJay 65879b191f7SJay for(i <- 0 until PortNumber){ 659e8e4462cSJay io.errors(i).valid := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 660e8e4462cSJay io.errors(i).report_to_beu := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 66179b191f7SJay io.errors(i).paddr := RegNext(RegNext(s2_req_paddr(i))) 66279b191f7SJay io.errors(i).source := DontCare 66379b191f7SJay io.errors(i).source.tag := RegNext(RegNext(s2_parity_meta_error(i))) 66479b191f7SJay io.errors(i).source.data := RegNext(s2_parity_data_error(i)) 66579b191f7SJay io.errors(i).source.l2 := false.B 66679b191f7SJay io.errors(i).opType := DontCare 66779b191f7SJay io.errors(i).opType.fetch := true.B 66879b191f7SJay } 66979b191f7SJay 670a61a35e0Sssszwic // MSHR error 671a61a35e0Sssszwic (0 until PortNumber).map{ i => 672a61a35e0Sssszwic when(RegNext(s2_fire && s2_corrupt(i))){ 673a61a35e0Sssszwic io.errors(i).valid := true.B 674a61a35e0Sssszwic io.errors(i).report_to_beu := false.B // l2 should have report that to bus error unit, no need to do it again 675a61a35e0Sssszwic io.errors(i).paddr := RegNext(s2_req_paddr(i)) 676a61a35e0Sssszwic io.errors(i).source.tag := false.B 677a61a35e0Sssszwic io.errors(i).source.data := false.B 678a61a35e0Sssszwic io.errors(i).source.l2 := true.B 6791d8f4dcbSJay } 6801d8f4dcbSJay } 6811d8f4dcbSJay 682a61a35e0Sssszwic /** 683a61a35e0Sssszwic ****************************************************************************** 684a61a35e0Sssszwic * s2 prefetch port 685a61a35e0Sssszwic ****************************************************************************** 686a61a35e0Sssszwic */ 687a61a35e0Sssszwic (0 until PortNumber).foreach{ i => 688a61a35e0Sssszwic // TODO: consider corrupt of missSlot 689a61a35e0Sssszwic toIPrefetch.missSlot(i).valid := missSlot(i).valid 690a61a35e0Sssszwic toIPrefetch.missSlot(i).vSetIdx := missSlot(i).vSetIdx 691a61a35e0Sssszwic toIPrefetch.missSlot(i).ptag := missSlot(i).pTag 6921d8f4dcbSJay } 6931d8f4dcbSJay 694a61a35e0Sssszwic /** 695a61a35e0Sssszwic ****************************************************************************** 696a61a35e0Sssszwic * update replacement status register 697a61a35e0Sssszwic ****************************************************************************** 698a61a35e0Sssszwic */ 699a61a35e0Sssszwic /** replacement status register */ 7002f4a98abSssszwic val port_touch_sets = Seq.fill(PortNumber)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W)))) 7012f4a98abSssszwic val port_touch_ways = Seq.fill(PortNumber)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W))))) 7022f4a98abSssszwic (port_touch_ways zip port_touch_sets).zipWithIndex.map{ case((t_w,t_s), i) => 7032f4a98abSssszwic /** update replacement status register: 0 is hit access/ 1 is miss access */ 7045b0cc873Sguohongyu t_s(0) := s2_req_vsetIdx(i)(highestIdxBit, 1) 7052f4a98abSssszwic // hit in slot will be ignored, which generate a repeated access 70661e1db30SJay t_w(0).valid := s2_valid && s2_port_hit(i) 70761e1db30SJay t_w(0).bits := OHToUInt(s2_tag_match_vec(i)) 7081d8f4dcbSJay 7095b0cc873Sguohongyu t_s(1) := s2_req_vsetIdx(i)(highestIdxBit, 1) 710a61a35e0Sssszwic t_w(1).valid := s2_valid && s2_port_miss(i) 7111d8f4dcbSJay t_w(1).bits := OHToUInt(s2_waymask(i)) 7121d8f4dcbSJay } 7131d8f4dcbSJay 7142f4a98abSssszwic val touch_ways = VecInit((0 until PortNumber).map( i => Mux(s2_req_vsetIdx(i)(0), port_touch_ways(1), port_touch_ways(0)))) 7152f4a98abSssszwic val touch_sets = VecInit((0 until PortNumber).map( i => Mux(s2_req_vsetIdx(i)(0), port_touch_sets(1), port_touch_sets(0)))) 7162f4a98abSssszwic ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)} 717a61a35e0Sssszwic // TODO: need choose one replacer according to the bankid 7182f4a98abSssszwic IPFReplacer.waymask := UIntToOH(replacers(0).way(IPFReplacer.vsetIdx)) 7192f4a98abSssszwic 720a61a35e0Sssszwic /** 721a61a35e0Sssszwic ****************************************************************************** 722a61a35e0Sssszwic * performance info. TODO: need to simplify the logic 723a61a35e0Sssszwic ***********************************************************s******************* 724a61a35e0Sssszwic */ 725a61a35e0Sssszwic io.fetch.topdownIcacheMiss := s2_port_miss(0) || s2_port_miss(1) 726d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := itlbMissStage(0) 727d2b20d1aSTang Haojin 728a61a35e0Sssszwic io.perfInfo.only_0_hit := s2_fixed_port_hit(0) && !s2_double_line 729a61a35e0Sssszwic io.perfInfo.only_0_miss := !s2_fixed_port_hit(0) && !s2_double_line 730a61a35e0Sssszwic io.perfInfo.hit_0_hit_1 := s2_fixed_port_hit(0) && s2_fixed_port_hit(1) && s2_double_line 731a61a35e0Sssszwic io.perfInfo.hit_0_miss_1 := s2_fixed_port_hit(0) && !s2_fixed_port_hit(1) && s2_double_line 732a61a35e0Sssszwic io.perfInfo.miss_0_hit_1 := !s2_fixed_port_hit(0) && s2_fixed_port_hit(1) && s2_double_line 733a61a35e0Sssszwic io.perfInfo.miss_0_miss_1 := !s2_fixed_port_hit(0) && !s2_fixed_port_hit(1) && s2_double_line 734a61a35e0Sssszwic io.perfInfo.hit_0_except_1 := s2_fixed_port_hit(0) && (s2_except_tlb(1) || s2_except_pmp_af(1)) && s2_double_line 735a61a35e0Sssszwic io.perfInfo.miss_0_except_1 := !s2_fixed_port_hit(0) && (s2_except_tlb(1) || s2_except_pmp_af(1)) && s2_double_line 736a61a35e0Sssszwic io.perfInfo.bank_hit(0) := s2_fixed_port_hit(0) 737a61a35e0Sssszwic io.perfInfo.bank_hit(1) := s2_fixed_port_hit(1) && s2_double_line 738a61a35e0Sssszwic io.perfInfo.except_0 := s2_except_tlb(0) || s2_except_pmp_af(0) 739a61a35e0Sssszwic io.perfInfo.hit := !s2_port_miss(0) && !s2_port_miss(1) 74058dbdfc2SJay 74158dbdfc2SJay /** <PERF> fetch bubble generated by icache miss*/ 74200240ba6SJay XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish ) 743a61a35e0Sssszwic XSPerfAccumulate("icache_bubble_s0_tlb_miss", s1_valid && !tlbRespAllValid) 74458dbdfc2SJay 745a61a35e0Sssszwic /** 746a61a35e0Sssszwic ****************************************************************************** 747a61a35e0Sssszwic * difftest refill check 748a61a35e0Sssszwic ****************************************************************************** 749a61a35e0Sssszwic */ 750afa866b1Sguohongyu if (env.EnableDifftest) { 751afa866b1Sguohongyu val discards = (0 until PortNumber).map { i => 752afa866b1Sguohongyu val discard = toIFU(i).bits.tlbExcp.pageFault || toIFU(i).bits.tlbExcp.accessFault || toIFU(i).bits.tlbExcp.mmio 753afa866b1Sguohongyu discard 754afa866b1Sguohongyu } 755afa866b1Sguohongyu (0 until PortNumber).map { i => 756a0c65233SYinan Xu val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true) 7577d45a146SYinan Xu diffMainPipeOut.coreid := io.hartId 7587d45a146SYinan Xu diffMainPipeOut.index := (4 + i).U 759a61a35e0Sssszwic if (i == 0) { 760a61a35e0Sssszwic diffMainPipeOut.valid := s2_fire && !discards(0) 761a61a35e0Sssszwic diffMainPipeOut.addr := s2_req_paddr(0) 762a61a35e0Sssszwic } else { 763a61a35e0Sssszwic diffMainPipeOut.valid := s2_fire && !discards(0) && (!s2_double_line || (s2_double_line && !discards(1))) 764a61a35e0Sssszwic diffMainPipeOut.addr := s2_req_paddr(0) + (blockBits/2).U 765afa866b1Sguohongyu } 766a61a35e0Sssszwic diffMainPipeOut.data := Cat(0.U((blockBits/2).W), toIFU(i).bits.data).asTypeOf(diffMainPipeOut.data) 767a61a35e0Sssszwic // idtfr: 0 -> data from icache 1 -> reversedData 2 -> data from missUnit 768a61a35e0Sssszwic diffMainPipeOut.idtfr := Mux(s2_port_hit(i), 0.U, Mux(s2_fixed_port_hit(i), 1.U, 2.U)) 769afa866b1Sguohongyu diffMainPipeOut 770afa866b1Sguohongyu } 771afa866b1Sguohongyu } 7721d8f4dcbSJay} 773