xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICacheMainPipe.scala (revision f56177cb059557286ffcac168b69ce3d30cc609a)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage xiangshan.frontend.icache
181d8f4dcbSJay
191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters
201d8f4dcbSJayimport chisel3._
211d8f4dcbSJayimport chisel3.util._
221d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates
231d8f4dcbSJayimport xiangshan._
241d8f4dcbSJayimport xiangshan.cache.mmu._
251d8f4dcbSJayimport utils._
261d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
27f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle}
281d8f4dcbSJay
291d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle
301d8f4dcbSJay{
311d8f4dcbSJay  val vaddr  = UInt(VAddrBits.W)
321d8f4dcbSJay  def vsetIdx = get_idx(vaddr)
331d8f4dcbSJay}
341d8f4dcbSJay
351d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle
361d8f4dcbSJay{
371d8f4dcbSJay  val vaddr    = UInt(VAddrBits.W)
381d8f4dcbSJay  val readData = UInt(blockBits.W)
391d8f4dcbSJay  val paddr    = UInt(PAddrBits.W)
401d8f4dcbSJay  val tlbExcp  = new Bundle{
411d8f4dcbSJay    val pageFault = Bool()
421d8f4dcbSJay    val accessFault = Bool()
431d8f4dcbSJay    val mmio = Bool()
441d8f4dcbSJay  }
451d8f4dcbSJay}
461d8f4dcbSJay
471d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle
481d8f4dcbSJay{
49c5c5edaeSJenius  val req  = Flipped(Decoupled(new FtqToICacheRequestBundle))
50c5c5edaeSJenius  val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp))
511d8f4dcbSJay}
521d8f4dcbSJay
531d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{
54afed18b5SJenius  val toIMeta       = DecoupledIO(new ICacheReadBundle)
551d8f4dcbSJay  val fromIMeta     = Input(new ICacheMetaRespBundle)
561d8f4dcbSJay}
571d8f4dcbSJay
581d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{
592da4ac8cSJenius  val toIData       = DecoupledIO(Vec(partWayNum, new ICacheReadBundle))
601d8f4dcbSJay  val fromIData     = Input(new ICacheDataRespBundle)
611d8f4dcbSJay}
621d8f4dcbSJay
631d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{
641d8f4dcbSJay  val toMSHR        = Decoupled(new ICacheMissReq)
651d8f4dcbSJay  val fromMSHR      = Flipped(ValidIO(new ICacheMissResp))
661d8f4dcbSJay}
671d8f4dcbSJay
681d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{
691d8f4dcbSJay  val req  = Valid(new PMPReqBundle())
701d8f4dcbSJay  val resp = Input(new PMPRespBundle())
711d8f4dcbSJay}
721d8f4dcbSJay
731d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{
741d8f4dcbSJay  val only_0_hit     = Bool()
751d8f4dcbSJay  val only_0_miss    = Bool()
761d8f4dcbSJay  val hit_0_hit_1    = Bool()
771d8f4dcbSJay  val hit_0_miss_1   = Bool()
781d8f4dcbSJay  val miss_0_hit_1   = Bool()
791d8f4dcbSJay  val miss_0_miss_1  = Bool()
80a108d429SJay  val hit_0_except_1 = Bool()
81a108d429SJay  val miss_0_except_1 = Bool()
82a108d429SJay  val except_0       = Bool()
831d8f4dcbSJay  val bank_hit       = Vec(2,Bool())
841d8f4dcbSJay  val hit            = Bool()
851d8f4dcbSJay}
861d8f4dcbSJay
871d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle {
882a3050c2SJay  /*** internal interface ***/
891d8f4dcbSJay  val metaArray   = new ICacheMetaReqBundle
901d8f4dcbSJay  val dataArray   = new ICacheDataReqBundle
911d8f4dcbSJay  val mshr        = Vec(PortNumber, new ICacheMSHRBundle)
9258dbdfc2SJay  val errors      = Output(Vec(PortNumber, new L1CacheErrorInfo))
932a3050c2SJay  /*** outside interface ***/
94c5c5edaeSJenius  //val fetch       = Vec(PortNumber, new ICacheMainPipeBundle)
95c5c5edaeSJenius  /* when ftq.valid is high in T + 1 cycle
96c5c5edaeSJenius   * the ftq component must be valid in T cycle
97c5c5edaeSJenius   */
98c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
991d8f4dcbSJay  val pmp         = Vec(PortNumber, new ICachePMPBundle)
100f1fe8698SLemover  val itlb        = Vec(PortNumber, new TlbRequestIO)
1011d8f4dcbSJay  val respStall   = Input(Bool())
1021d8f4dcbSJay  val perfInfo = Output(new ICachePerfInfo)
10358dbdfc2SJay
104a108d429SJay  val prefetchEnable = Output(Bool())
105a108d429SJay  val prefetchDisable = Output(Bool())
106ecccf78fSJay  val csr_parity_enable = Input(Bool())
107ecccf78fSJay
1081d8f4dcbSJay}
1091d8f4dcbSJay
1101d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule
1111d8f4dcbSJay{
1121d8f4dcbSJay  val io = IO(new ICacheMainPipeInterface)
1131d8f4dcbSJay
11458dbdfc2SJay  /** Input/Output port */
115c5c5edaeSJenius  val (fromFtq, toIFU)    = (io.fetch.req, io.fetch.resp)
1162a3050c2SJay  val (toMeta, metaResp)  = (io.metaArray.toIMeta, io.metaArray.fromIMeta)
1172a3050c2SJay  val (toData, dataResp)  = (io.dataArray.toIData,  io.dataArray.fromIData)
1181d8f4dcbSJay  val (toMSHR, fromMSHR)  = (io.mshr.map(_.toMSHR), io.mshr.map(_.fromMSHR))
1191d8f4dcbSJay  val (toITLB, fromITLB)  = (io.itlb.map(_.req), io.itlb.map(_.resp))
1201d8f4dcbSJay  val (toPMP,  fromPMP)   = (io.pmp.map(_.req), io.pmp.map(_.resp))
121c3b763d0SYinan Xu  io.itlb.foreach(_.req_kill := false.B)
1221d8f4dcbSJay
123c5c5edaeSJenius  //Ftq RegNext Register
124b004fa13SJenius  val fromFtqReq = fromFtq.bits.pcMemRead
125c5c5edaeSJenius
12658dbdfc2SJay  /** pipeline control signal */
127f1fe8698SLemover  val s1_ready, s2_ready = Wire(Bool())
128f1fe8698SLemover  val s0_fire,  s1_fire , s2_fire  = Wire(Bool())
1291d8f4dcbSJay
1307052722fSJay  val missSwitchBit = RegInit(false.B)
1317052722fSJay
13258dbdfc2SJay  /** replacement status register */
13358dbdfc2SJay  val touch_sets = Seq.fill(2)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W))))
13458dbdfc2SJay  val touch_ways = Seq.fill(2)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))) )
13558dbdfc2SJay
1362a3050c2SJay  /**
1372a3050c2SJay    ******************************************************************************
13858dbdfc2SJay    * ICache Stage 0
13958dbdfc2SJay    * - send req to ITLB and wait for tlb miss fixing
14058dbdfc2SJay    * - send req to Meta/Data SRAM
1412a3050c2SJay    ******************************************************************************
1422a3050c2SJay    */
1432a3050c2SJay
14458dbdfc2SJay  /** s0 control */
145c5c5edaeSJenius  val s0_valid       = fromFtq.valid
146*f56177cbSJenius  val s0_req_vaddr   = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart)))
147*f56177cbSJenius  val s0_req_vsetIdx = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr(i).map(get_idx(_))))
148*f56177cbSJenius  val s0_only_first  = (0 until partWayNum + 1).map(i => fromFtq.valid && !fromFtqReq(i).crossCacheline)
149*f56177cbSJenius  val s0_double_line = (0 until partWayNum + 1).map(i => fromFtq.valid &&  fromFtqReq(i).crossCacheline)
1501d8f4dcbSJay
151f1fe8698SLemover  val s0_final_valid        = s0_valid
152fd0ecf27SLingrui98  val s0_final_vaddr        = s0_req_vaddr.head
153fd0ecf27SLingrui98  val s0_final_vsetIdx      = s0_req_vsetIdx.head
154fd0ecf27SLingrui98  val s0_final_only_first   = s0_only_first.head
155fd0ecf27SLingrui98  val s0_final_double_line  = s0_double_line.head
15661e1db30SJay
15758dbdfc2SJay  /** SRAM request */
158*f56177cbSJenius  //0 -> metaread, 1,2,3 -> data, 3 -> code 4 -> itlb
159*f56177cbSJenius  val ftq_req_to_data_doubleline  = s0_double_line.init
160*f56177cbSJenius  val ftq_req_to_data_vset_idx    = s0_req_vsetIdx.init
161*f56177cbSJenius
162*f56177cbSJenius  val ftq_req_to_meta_doubleline  = s0_double_line.head
163*f56177cbSJenius  val ftq_req_to_meta_vset_idx    = s0_req_vsetIdx.head
164*f56177cbSJenius
165*f56177cbSJenius  val ftq_req_to_itlb_only_first  = s0_only_first.last
166*f56177cbSJenius  val ftq_req_to_itlb_doubleline  = s0_double_line.last
167*f56177cbSJenius  val ftq_req_to_itlb_vaddr       = s0_req_vaddr.last
168*f56177cbSJenius  val ftq_req_to_itlb_vset_idx    = s0_req_vsetIdx.last
169*f56177cbSJenius
170*f56177cbSJenius
171fd0ecf27SLingrui98  for(i <- 0 until partWayNum) {
172afed18b5SJenius    toData.valid                  := s0_valid && !missSwitchBit
173*f56177cbSJenius    toData.bits(i).isDoubleLine   := ftq_req_to_data_doubleline(i)
174*f56177cbSJenius    toData.bits(i).vSetIdx        := ftq_req_to_data_vset_idx(i)
1751d8f4dcbSJay  }
176afed18b5SJenius
177afed18b5SJenius  toMeta.valid               := s0_valid && !missSwitchBit
178*f56177cbSJenius  toMeta.bits.isDoubleLine   := ftq_req_to_meta_doubleline
179*f56177cbSJenius  toMeta.bits.vSetIdx        := ftq_req_to_meta_vset_idx
180afed18b5SJenius
181afed18b5SJenius
182b127c1edSJay  toITLB(0).valid         := s0_valid
1832a3050c2SJay  toITLB(0).bits.size     := 3.U // TODO: fix the size
184*f56177cbSJenius  toITLB(0).bits.vaddr    := ftq_req_to_itlb_vaddr(0)
185*f56177cbSJenius  toITLB(0).bits.debug.pc := ftq_req_to_itlb_vaddr(0)
1862a3050c2SJay
187*f56177cbSJenius  toITLB(1).valid         := s0_valid && ftq_req_to_itlb_doubleline
1882a3050c2SJay  toITLB(1).bits.size     := 3.U // TODO: fix the size
189*f56177cbSJenius  toITLB(1).bits.vaddr    := ftq_req_to_itlb_vaddr(1)
190*f56177cbSJenius  toITLB(1).bits.debug.pc := ftq_req_to_itlb_vaddr(1)
19191df15e5SJay
1922a3050c2SJay  toITLB.map{port =>
1932a3050c2SJay    port.bits.cmd                 := TlbCmd.exec
194f1fe8698SLemover    port.bits.debug.robIdx        := DontCare
1952a3050c2SJay    port.bits.debug.isFirstIssue  := DontCare
1962a3050c2SJay  }
1972a3050c2SJay
198f1fe8698SLemover  /** ITLB & ICACHE sync case
199f1fe8698SLemover   * when icache is not ready, but itlb is ready
200f1fe8698SLemover   * because itlb is non-block, then the req will take the port
201f1fe8698SLemover   * then itlb will unset the ready?? itlb is wrongly blocked.
202f1fe8698SLemover   * Solution: maybe give itlb a signal to tell whether acquire the slot?
203f1fe8698SLemover   */
2042a3050c2SJay
205f1fe8698SLemover  val itlb_can_go    = toITLB(0).ready && toITLB(1).ready
206afed18b5SJenius  val icache_can_go  = toData.ready && toMeta.ready
207f1fe8698SLemover  val pipe_can_go    = !missSwitchBit && s1_ready
208f1fe8698SLemover  val s0_can_go      = itlb_can_go && icache_can_go && pipe_can_go
209f1fe8698SLemover  val s0_fetch_fire  = s0_valid && s0_can_go
210f1fe8698SLemover  s0_fire        := s0_fetch_fire
211f1fe8698SLemover  toITLB.map{port => port.bits.kill := !icache_can_go || !pipe_can_go}
2127052722fSJay
2137052722fSJay  //TODO: fix GTimer() condition
214c5c5edaeSJenius  fromFtq.ready := s0_can_go
215f1fe8698SLemover
2162a3050c2SJay  /**
2172a3050c2SJay    ******************************************************************************
21858dbdfc2SJay    * ICache Stage 1
21958dbdfc2SJay    * - get tlb resp data (exceptiong info and physical addresses)
22058dbdfc2SJay    * - get Meta/Data SRAM read responses (latched for pipeline stop)
22158dbdfc2SJay    * - tag compare/hit check
2222a3050c2SJay    ******************************************************************************
2232a3050c2SJay    */
2241d8f4dcbSJay
22558dbdfc2SJay  /** s1 control */
2261d8f4dcbSJay
227f1fe8698SLemover  val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = false.B, lastFlush = false.B)
2281d8f4dcbSJay
229005e809bSJiuyang Liu  val s1_req_vaddr   = RegEnable(s0_final_vaddr, s0_fire)
230005e809bSJiuyang Liu  val s1_req_vsetIdx = RegEnable(s0_final_vsetIdx, s0_fire)
231005e809bSJiuyang Liu  val s1_only_first  = RegEnable(s0_final_only_first, s0_fire)
232005e809bSJiuyang Liu  val s1_double_line = RegEnable(s0_final_double_line, s0_fire)
2331d8f4dcbSJay
23458dbdfc2SJay  /** tlb response latch for pipeline stop */
235f1fe8698SLemover  val tlb_back = fromITLB.map(_.fire())
236f1fe8698SLemover  val tlb_need_back = VecInit((0 until PortNumber).map(i => ValidHold(s0_fire && toITLB(i).fire(), s1_fire, false.B)))
237f1fe8698SLemover  val tlb_already_recv = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
238f1fe8698SLemover  val tlb_ready_recv = VecInit((0 until PortNumber).map(i => RegNext(s0_fire, false.B) || (s1_valid && !tlb_already_recv(i))))
239f1fe8698SLemover  val tlb_resp_valid = Wire(Vec(2, Bool()))
240f1fe8698SLemover  for (i <- 0 until PortNumber) {
241f1fe8698SLemover    tlb_resp_valid(i) := tlb_already_recv(i) || (tlb_ready_recv(i) && tlb_back(i))
242f1fe8698SLemover    when (tlb_already_recv(i) && s1_fire) {
243f1fe8698SLemover      tlb_already_recv(i) := false.B
244f1fe8698SLemover    }
245f1fe8698SLemover    when (tlb_back(i) && tlb_ready_recv(i) && !s1_fire) {
246f1fe8698SLemover      tlb_already_recv(i) := true.B
247f1fe8698SLemover    }
248f1fe8698SLemover    fromITLB(i).ready := tlb_ready_recv(i)
249f1fe8698SLemover  }
250f1fe8698SLemover  assert(RegNext(Cat((0 until PortNumber).map(i => tlb_need_back(i) || !tlb_resp_valid(i))).andR(), true.B),
251f1fe8698SLemover    "when tlb should not back, tlb should not resp valid")
252f1fe8698SLemover  assert(RegNext(!s1_valid || Cat(tlb_need_back).orR, true.B), "when s1_valid, need at least one tlb_need_back")
253f1fe8698SLemover  assert(RegNext(s1_valid || !Cat(tlb_need_back).orR, true.B), "when !s1_valid, all the tlb_need_back should be false")
254f1fe8698SLemover  assert(RegNext(s1_valid || !Cat(tlb_already_recv).orR, true.B), "when !s1_valid, should not tlb_already_recv")
255f1fe8698SLemover  assert(RegNext(s1_valid || !Cat(tlb_resp_valid).orR, true.B), "when !s1_valid, should not tlb_resp_valid")
2561d8f4dcbSJay
25703efd994Shappy-lx  val tlbRespPAddr = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.paddr(0))))
25803efd994Shappy-lx  val tlbExcpPF = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.excp(0).pf.instr) && tlb_need_back(i)))
25903efd994Shappy-lx  val tlbExcpAF = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.excp(0).af.instr) && tlb_need_back(i)))
260f1fe8698SLemover  val tlbExcp = VecInit((0 until PortNumber).map(i => tlbExcpPF(i) || tlbExcpPF(i)))
2612a3050c2SJay
262f1fe8698SLemover  val tlbRespAllValid = Cat((0 until PortNumber).map(i => !tlb_need_back(i) || tlb_resp_valid(i))).andR
263f1fe8698SLemover  s1_ready := s2_ready && tlbRespAllValid  || !s1_valid
264f1fe8698SLemover  s1_fire  := s1_valid && tlbRespAllValid && s2_ready
2651d8f4dcbSJay
26658dbdfc2SJay  /** s1 hit check/tag compare */
2671d8f4dcbSJay  val s1_req_paddr              = tlbRespPAddr
2681d8f4dcbSJay  val s1_req_ptags              = VecInit(s1_req_paddr.map(get_phy_tag(_)))
2691d8f4dcbSJay
270ccfc2e22SJay  val s1_meta_ptags              = ResultHoldBypass(data = metaResp.tags, valid = RegNext(s0_fire))
271ccfc2e22SJay  val s1_meta_cohs               = ResultHoldBypass(data = metaResp.cohs, valid = RegNext(s0_fire))
27258dbdfc2SJay  val s1_meta_errors             = ResultHoldBypass(data = metaResp.errors, valid = RegNext(s0_fire))
27358dbdfc2SJay
274ccfc2e22SJay  val s1_data_cacheline          = ResultHoldBypass(data = dataResp.datas, valid = RegNext(s0_fire))
27579b191f7SJay  val s1_data_errorBits          = ResultHoldBypass(data = dataResp.codes, valid = RegNext(s0_fire))
2761d8f4dcbSJay
2771d8f4dcbSJay  val s1_tag_eq_vec        = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w =>  s1_meta_ptags(p)(w) ===  s1_req_ptags(p) ))))
2781d8f4dcbSJay  val s1_tag_match_vec     = VecInit((0 until PortNumber).map( k => VecInit(s1_tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_cohs(k)(w).isValid()})))
2791d8f4dcbSJay  val s1_tag_match         = VecInit(s1_tag_match_vec.map(vector => ParallelOR(vector)))
2801d8f4dcbSJay
281f1fe8698SLemover  val s1_port_hit          = VecInit(Seq(s1_tag_match(0) && s1_valid  && !tlbExcp(0),  s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) ))
282f1fe8698SLemover  val s1_bank_miss         = VecInit(Seq(!s1_tag_match(0) && s1_valid && !tlbExcp(0), !s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) ))
2831d8f4dcbSJay  val s1_hit               = (s1_port_hit(0) && s1_port_hit(1)) || (!s1_double_line && s1_port_hit(0))
2841d8f4dcbSJay
2851d8f4dcbSJay  /** choose victim cacheline */
2861d8f4dcbSJay  val replacers       = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber))
287ccfc2e22SJay  val s1_victim_oh    = ResultHoldBypass(data = VecInit(replacers.zipWithIndex.map{case (replacer, i) => UIntToOH(replacer.way(s1_req_vsetIdx(i)))}), valid = RegNext(s0_fire))
2881d8f4dcbSJay
2891d8f4dcbSJay  val s1_victim_coh   = VecInit(s1_victim_oh.zipWithIndex.map {case(oh, port) => Mux1H(oh, s1_meta_cohs(port))})
2901d8f4dcbSJay
2911d8f4dcbSJay  assert(PopCount(s1_tag_match_vec(0)) <= 1.U && PopCount(s1_tag_match_vec(1)) <= 1.U, "Multiple hit in main pipe")
2921d8f4dcbSJay
2931d8f4dcbSJay  ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)}
2941d8f4dcbSJay
2951d8f4dcbSJay
29658dbdfc2SJay  /** <PERF> replace victim way number */
29758dbdfc2SJay
2981d8f4dcbSJay  (0 until nWays).map{ w =>
2991d8f4dcbSJay    XSPerfAccumulate("line_0_hit_way_" + Integer.toString(w, 10),  s1_fire && s1_port_hit(0) && OHToUInt(s1_tag_match_vec(0))  === w.U)
3001d8f4dcbSJay  }
3011d8f4dcbSJay
3021d8f4dcbSJay  (0 until nWays).map{ w =>
3031d8f4dcbSJay    XSPerfAccumulate("line_0_victim_way_" + Integer.toString(w, 10),  s1_fire && !s1_port_hit(0) && OHToUInt(s1_victim_oh(0))  === w.U)
3041d8f4dcbSJay  }
3051d8f4dcbSJay
3061d8f4dcbSJay  (0 until nWays).map{ w =>
3071d8f4dcbSJay    XSPerfAccumulate("line_1_hit_way_" + Integer.toString(w, 10),  s1_fire && s1_double_line && s1_port_hit(1) && OHToUInt(s1_tag_match_vec(1))  === w.U)
3081d8f4dcbSJay  }
3091d8f4dcbSJay
3101d8f4dcbSJay  (0 until nWays).map{ w =>
3111d8f4dcbSJay    XSPerfAccumulate("line_1_victim_way_" + Integer.toString(w, 10),  s1_fire && s1_double_line && !s1_port_hit(1) && OHToUInt(s1_victim_oh(1))  === w.U)
3121d8f4dcbSJay  }
3131d8f4dcbSJay
3142a3050c2SJay  /**
3152a3050c2SJay    ******************************************************************************
31658dbdfc2SJay    * ICache Stage 2
31758dbdfc2SJay    * - send request to MSHR if ICache miss
31858dbdfc2SJay    * - generate secondary miss status/data registers
31958dbdfc2SJay    * - response to IFU
3202a3050c2SJay    ******************************************************************************
3212a3050c2SJay    */
32258dbdfc2SJay
32358dbdfc2SJay  /** s2 control */
3241d8f4dcbSJay  val s2_fetch_finish = Wire(Bool())
3251d8f4dcbSJay
326f1fe8698SLemover  val s2_valid          = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = false.B, lastFlush = false.B)
3271d8f4dcbSJay  val s2_miss_available = Wire(Bool())
3281d8f4dcbSJay
3291d8f4dcbSJay  s2_ready      := (s2_valid && s2_fetch_finish && !io.respStall) || (!s2_valid && s2_miss_available)
3301d8f4dcbSJay  s2_fire       := s2_valid && s2_fetch_finish && !io.respStall
3311d8f4dcbSJay
33258dbdfc2SJay  /** s2 data */
3331d8f4dcbSJay  val mmio = fromPMP.map(port => port.mmio) // TODO: handle it
3341d8f4dcbSJay
335005e809bSJiuyang Liu  val (s2_req_paddr , s2_req_vaddr)   = (RegEnable(s1_req_paddr, s1_fire), RegEnable(s1_req_vaddr, s1_fire))
336005e809bSJiuyang Liu  val s2_req_vsetIdx  = RegEnable(s1_req_vsetIdx, s1_fire)
337005e809bSJiuyang Liu  val s2_req_ptags    = RegEnable(s1_req_ptags, s1_fire)
338005e809bSJiuyang Liu  val s2_only_first   = RegEnable(s1_only_first, s1_fire)
339005e809bSJiuyang Liu  val s2_double_line  = RegEnable(s1_double_line, s1_fire)
340005e809bSJiuyang Liu  val s2_hit          = RegEnable(s1_hit   , s1_fire)
341005e809bSJiuyang Liu  val s2_port_hit     = RegEnable(s1_port_hit, s1_fire)
342005e809bSJiuyang Liu  val s2_bank_miss    = RegEnable(s1_bank_miss, s1_fire)
343005e809bSJiuyang Liu  val s2_waymask      = RegEnable(s1_victim_oh, s1_fire)
344005e809bSJiuyang Liu  val s2_victim_coh   = RegEnable(s1_victim_coh, s1_fire)
345005e809bSJiuyang Liu  val s2_tag_match_vec = RegEnable(s1_tag_match_vec, s1_fire)
3461d8f4dcbSJay
347f1fe8698SLemover  assert(RegNext(!s2_valid || s2_req_paddr(0)(11,0) === s2_req_vaddr(0)(11,0), true.B))
348f1fe8698SLemover
34958dbdfc2SJay  /** status imply that s2 is a secondary miss (no need to resend miss request) */
3501d8f4dcbSJay  val sec_meet_vec = Wire(Vec(2, Bool()))
3511d8f4dcbSJay  val s2_fixed_hit_vec = VecInit((0 until 2).map(i => s2_port_hit(i) || sec_meet_vec(i)))
3521d8f4dcbSJay  val s2_fixed_hit = (s2_valid && s2_fixed_hit_vec(0) && s2_fixed_hit_vec(1) && s2_double_line) || (s2_valid && s2_fixed_hit_vec(0) && !s2_double_line)
3531d8f4dcbSJay
354005e809bSJiuyang Liu  val s2_meta_errors    = RegEnable(s1_meta_errors,    s1_fire)
355005e809bSJiuyang Liu  val s2_data_errorBits = RegEnable(s1_data_errorBits, s1_fire)
356005e809bSJiuyang Liu  val s2_data_cacheline = RegEnable(s1_data_cacheline, s1_fire)
35779b191f7SJay
35879b191f7SJay  val s2_data_errors    = Wire(Vec(PortNumber,Vec(nWays, Bool())))
35979b191f7SJay
36079b191f7SJay  (0 until PortNumber).map{ i =>
36179b191f7SJay    val read_datas = s2_data_cacheline(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeUnit.W))))
36279b191f7SJay    val read_codes = s2_data_errorBits(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeBits.W))))
36379b191f7SJay    val data_full_wayBits = VecInit((0 until nWays).map( w =>
36479b191f7SJay                                  VecInit((0 until dataCodeUnitNum).map(u =>
36579b191f7SJay                                        Cat(read_codes(w)(u), read_datas(w)(u))))))
36679b191f7SJay    val data_error_wayBits = VecInit((0 until nWays).map( w =>
36779b191f7SJay                                  VecInit((0 until dataCodeUnitNum).map(u =>
36879b191f7SJay                                       cacheParams.dataCode.decode(data_full_wayBits(w)(u)).error ))))
36979b191f7SJay    if(i == 0){
37079b191f7SJay      (0 until nWays).map{ w =>
37179b191f7SJay        s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(data_error_wayBits(w)).reduce(_||_)
37279b191f7SJay      }
37379b191f7SJay    } else {
37479b191f7SJay      (0 until nWays).map{ w =>
37579b191f7SJay        s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(RegNext(s1_double_line)) && RegNext(data_error_wayBits(w)).reduce(_||_)
37679b191f7SJay      }
37779b191f7SJay    }
37879b191f7SJay  }
37979b191f7SJay
38079b191f7SJay  val s2_parity_meta_error  = VecInit((0 until PortNumber).map(i => s2_meta_errors(i).reduce(_||_) && io.csr_parity_enable))
38179b191f7SJay  val s2_parity_data_error  = VecInit((0 until PortNumber).map(i => s2_data_errors(i).reduce(_||_) && io.csr_parity_enable))
38279b191f7SJay  val s2_parity_error       = VecInit((0 until PortNumber).map(i => RegNext(s2_parity_meta_error(i)) || s2_parity_data_error(i)))
38379b191f7SJay
38479b191f7SJay  for(i <- 0 until PortNumber){
385e8e4462cSJay    io.errors(i).valid            := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire)))
386e8e4462cSJay    io.errors(i).report_to_beu    := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire)))
38779b191f7SJay    io.errors(i).paddr            := RegNext(RegNext(s2_req_paddr(i)))
38879b191f7SJay    io.errors(i).source           := DontCare
38979b191f7SJay    io.errors(i).source.tag       := RegNext(RegNext(s2_parity_meta_error(i)))
39079b191f7SJay    io.errors(i).source.data      := RegNext(s2_parity_data_error(i))
39179b191f7SJay    io.errors(i).source.l2        := false.B
39279b191f7SJay    io.errors(i).opType           := DontCare
39379b191f7SJay    io.errors(i).opType.fetch     := true.B
39479b191f7SJay  }
395e8e4462cSJay  XSError(s2_parity_error.reduce(_||_) && RegNext(RegNext(s1_fire)), "ICache has parity error in MainPaipe!")
39679b191f7SJay
39779b191f7SJay
3982a25dbb4SJay  /** exception and pmp logic **/
3992a3050c2SJay  //PMP Result
400f1fe8698SLemover  val s2_tlb_need_back = VecInit((0 until PortNumber).map(i => ValidHold(tlb_need_back(i) && s1_fire, s2_fire, false.B)))
4012a3050c2SJay  val pmpExcpAF = Wire(Vec(PortNumber, Bool()))
402f1fe8698SLemover  pmpExcpAF(0)  := fromPMP(0).instr && s2_tlb_need_back(0)
403f1fe8698SLemover  pmpExcpAF(1)  := fromPMP(1).instr && s2_double_line && s2_tlb_need_back(1)
4041d8f4dcbSJay  //exception information
405227f2b93SJenius  //short delay exception signal
406227f2b93SJenius  val s2_except_pf        = RegEnable(tlbExcpPF, s1_fire)
407227f2b93SJenius  val s2_except_tlb_af    = RegEnable(tlbExcpAF, s1_fire)
408227f2b93SJenius  //long delay exception signal
409227f2b93SJenius  val s2_except_pmp_af    =  DataHoldBypass(pmpExcpAF, RegNext(s1_fire))
410227f2b93SJenius  // val s2_except_parity_af =  VecInit(s2_parity_error(i) && RegNext(RegNext(s1_fire))                      )
411227f2b93SJenius
412227f2b93SJenius  val s2_except    = VecInit((0 until 2).map{i => s2_except_pf(i) || s2_except_tlb_af(i)})
413227f2b93SJenius  val s2_has_except = s2_valid && (s2_except_tlb_af.reduce(_||_) || s2_except_pf.reduce(_||_))
4141d8f4dcbSJay  //MMIO
415227f2b93SJenius  val s2_mmio      = DataHoldBypass(io.pmp(0).resp.mmio && !s2_except_tlb_af(0) && !s2_except_pmp_af(0) && !s2_except_pf(0), RegNext(s1_fire)).asBool() && s2_valid
4161d8f4dcbSJay
41758dbdfc2SJay  //send physical address to PMP
4181d8f4dcbSJay  io.pmp.zipWithIndex.map { case (p, i) =>
419de7689fcSJay    p.req.valid := s2_valid && !missSwitchBit
4201d8f4dcbSJay    p.req.bits.addr := s2_req_paddr(i)
4211d8f4dcbSJay    p.req.bits.size := 3.U // TODO
4221d8f4dcbSJay    p.req.bits.cmd := TlbCmd.exec
4231d8f4dcbSJay  }
4241d8f4dcbSJay
4251d8f4dcbSJay  /*** cacheline miss logic ***/
426227f2b93SJenius  val wait_idle :: wait_queue_ready :: wait_send_req  :: wait_two_resp :: wait_0_resp :: wait_1_resp :: wait_one_resp ::wait_finish :: wait_pmp_except :: Nil = Enum(9)
4271d8f4dcbSJay  val wait_state = RegInit(wait_idle)
4281d8f4dcbSJay
4291d8f4dcbSJay  val port_miss_fix  = VecInit(Seq(fromMSHR(0).fire() && !s2_port_hit(0),   fromMSHR(1).fire() && s2_double_line && !s2_port_hit(1) ))
4301d8f4dcbSJay
43158dbdfc2SJay  // secondary miss record registers
4322a3050c2SJay  class MissSlot(implicit p: Parameters) extends  ICacheBundle {
4331d8f4dcbSJay    val m_vSetIdx   = UInt(idxBits.W)
4341d8f4dcbSJay    val m_pTag      = UInt(tagBits.W)
4351d8f4dcbSJay    val m_data      = UInt(blockBits.W)
43658dbdfc2SJay    val m_corrupt   = Bool()
4371d8f4dcbSJay  }
4381d8f4dcbSJay
4391d8f4dcbSJay  val missSlot    = Seq.fill(2)(RegInit(0.U.asTypeOf(new MissSlot)))
4401d8f4dcbSJay  val m_invalid :: m_valid :: m_refilled :: m_flushed :: m_wait_sec_miss :: m_check_final ::Nil = Enum(6)
4411d8f4dcbSJay  val missStateQueue = RegInit(VecInit(Seq.fill(2)(m_invalid)) )
4421d8f4dcbSJay  val reservedRefillData = Wire(Vec(2, UInt(blockBits.W)))
4431d8f4dcbSJay
4441d8f4dcbSJay  s2_miss_available :=  VecInit(missStateQueue.map(entry => entry === m_invalid  || entry === m_wait_sec_miss)).reduce(_&&_)
4451d8f4dcbSJay
4461d8f4dcbSJay  val fix_sec_miss     = Wire(Vec(4, Bool()))
4471d8f4dcbSJay  val sec_meet_0_miss = fix_sec_miss(0) || fix_sec_miss(2)
4481d8f4dcbSJay  val sec_meet_1_miss = fix_sec_miss(1) || fix_sec_miss(3)
4491d8f4dcbSJay  sec_meet_vec := VecInit(Seq(sec_meet_0_miss,sec_meet_1_miss ))
4501d8f4dcbSJay
4512a3050c2SJay  /*** miss/hit pattern: <Control Signal> only raise at the first cycle of s2_valid ***/
45242b952e2SJay  val cacheline_0_hit  = (s2_port_hit(0) || sec_meet_0_miss)
45342b952e2SJay  val cacheline_0_miss = !s2_port_hit(0) && !sec_meet_0_miss
4541d8f4dcbSJay
45542b952e2SJay  val cacheline_1_hit  = (s2_port_hit(1) || sec_meet_1_miss)
45642b952e2SJay  val cacheline_1_miss = !s2_port_hit(1) && !sec_meet_1_miss
45742b952e2SJay
45842b952e2SJay  val  only_0_miss      = RegNext(s1_fire) && cacheline_0_miss && !s2_double_line && !s2_has_except && !s2_mmio
45942b952e2SJay  val  only_0_hit       = RegNext(s1_fire) && cacheline_0_hit  && !s2_double_line && !s2_mmio
46042b952e2SJay  val  hit_0_hit_1      = RegNext(s1_fire) && cacheline_0_hit  && cacheline_1_hit  && s2_double_line && !s2_mmio
46142b952e2SJay  val  hit_0_miss_1     = RegNext(s1_fire) && cacheline_0_hit  && cacheline_1_miss && s2_double_line  && !s2_has_except && !s2_mmio
46242b952e2SJay  val  miss_0_hit_1     = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_hit && s2_double_line  && !s2_has_except && !s2_mmio
46342b952e2SJay  val  miss_0_miss_1    = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_miss && s2_double_line  && !s2_has_except && !s2_mmio
46442b952e2SJay
46542b952e2SJay  val  hit_0_except_1   = RegNext(s1_fire) && s2_double_line &&  !s2_except(0) && s2_except(1)  &&  cacheline_0_hit
46642b952e2SJay  val  miss_0_except_1  = RegNext(s1_fire) && s2_double_line &&  !s2_except(0) && s2_except(1)  &&  cacheline_0_miss
4671d8f4dcbSJay  val  except_0         = RegNext(s1_fire) && s2_except(0)
4681d8f4dcbSJay
4691d8f4dcbSJay  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool ={
4701d8f4dcbSJay    val bit = RegInit(false.B)
4711d8f4dcbSJay    when(flush)                   { bit := false.B  }
4721d8f4dcbSJay    .elsewhen(valid && !release)  { bit := true.B   }
4731d8f4dcbSJay    .elsewhen(release)            { bit := false.B  }
4741d8f4dcbSJay    bit || valid
4751d8f4dcbSJay  }
4761d8f4dcbSJay
4772a3050c2SJay  /*** miss/hit pattern latch: <Control Signal> latch the miss/hit patter if pipeline stop ***/
4781d8f4dcbSJay  val  miss_0_hit_1_latch     =   holdReleaseLatch(valid = miss_0_hit_1,    release = s2_fire,      flush = false.B)
4791d8f4dcbSJay  val  miss_0_miss_1_latch    =   holdReleaseLatch(valid = miss_0_miss_1,   release = s2_fire,      flush = false.B)
4801d8f4dcbSJay  val  only_0_miss_latch      =   holdReleaseLatch(valid = only_0_miss,     release = s2_fire,      flush = false.B)
4811d8f4dcbSJay  val  hit_0_miss_1_latch     =   holdReleaseLatch(valid = hit_0_miss_1,    release = s2_fire,      flush = false.B)
4821d8f4dcbSJay
4831d8f4dcbSJay  val  miss_0_except_1_latch  =   holdReleaseLatch(valid = miss_0_except_1, release = s2_fire,      flush = false.B)
4841d8f4dcbSJay  val  except_0_latch          =   holdReleaseLatch(valid = except_0,    release = s2_fire,      flush = false.B)
4851d8f4dcbSJay  val  hit_0_except_1_latch         =    holdReleaseLatch(valid = hit_0_except_1,    release = s2_fire,      flush = false.B)
4861d8f4dcbSJay
4871d8f4dcbSJay  val only_0_hit_latch        = holdReleaseLatch(valid = only_0_hit,   release = s2_fire,      flush = false.B)
4881d8f4dcbSJay  val hit_0_hit_1_latch        = holdReleaseLatch(valid = hit_0_hit_1,   release = s2_fire,      flush = false.B)
4891d8f4dcbSJay
4901d8f4dcbSJay
4911c746d3aScui fliter  /*** secondary miss judgment ***/
49258dbdfc2SJay
4931d8f4dcbSJay  def waitSecondComeIn(missState: UInt): Bool = (missState === m_wait_sec_miss)
4941d8f4dcbSJay
4951d8f4dcbSJay  def getMissSituat(slotNum : Int, missNum : Int ) :Bool =  {
496227f2b93SJenius    RegNext(s1_fire) &&
497227f2b93SJenius    RegNext(missSlot(slotNum).m_vSetIdx === s1_req_vsetIdx(missNum)) &&
498227f2b93SJenius    RegNext(missSlot(slotNum).m_pTag  === s1_req_ptags(missNum)) &&
499227f2b93SJenius    !s2_port_hit(missNum)  &&
500227f2b93SJenius    waitSecondComeIn(missStateQueue(slotNum))
5011d8f4dcbSJay  }
5021d8f4dcbSJay
5031d8f4dcbSJay  val miss_0_s2_0 =   getMissSituat(slotNum = 0, missNum = 0)
5041d8f4dcbSJay  val miss_0_s2_1 =   getMissSituat(slotNum = 0, missNum = 1)
5051d8f4dcbSJay  val miss_1_s2_0 =   getMissSituat(slotNum = 1, missNum = 0)
5061d8f4dcbSJay  val miss_1_s2_1 =   getMissSituat(slotNum = 1, missNum = 1)
5071d8f4dcbSJay
5081d8f4dcbSJay  val miss_0_s2_0_latch =   holdReleaseLatch(valid = miss_0_s2_0,    release = s2_fire,      flush = false.B)
5091d8f4dcbSJay  val miss_0_s2_1_latch =   holdReleaseLatch(valid = miss_0_s2_1,    release = s2_fire,      flush = false.B)
5101d8f4dcbSJay  val miss_1_s2_0_latch =   holdReleaseLatch(valid = miss_1_s2_0,    release = s2_fire,      flush = false.B)
5111d8f4dcbSJay  val miss_1_s2_1_latch =   holdReleaseLatch(valid = miss_1_s2_1,    release = s2_fire,      flush = false.B)
5121d8f4dcbSJay
5131d8f4dcbSJay
5141d8f4dcbSJay  val slot_0_solve = fix_sec_miss(0) || fix_sec_miss(1)
5151d8f4dcbSJay  val slot_1_solve = fix_sec_miss(2) || fix_sec_miss(3)
5161d8f4dcbSJay  val slot_slove   = VecInit(Seq(slot_0_solve, slot_1_solve))
5171d8f4dcbSJay
5181d8f4dcbSJay  fix_sec_miss   := VecInit(Seq(miss_0_s2_0_latch, miss_0_s2_1_latch, miss_1_s2_0_latch, miss_1_s2_1_latch))
5191d8f4dcbSJay
52058dbdfc2SJay  /*** reserved data for secondary miss ***/
52158dbdfc2SJay
5221d8f4dcbSJay  reservedRefillData(0) := DataHoldBypass(data = missSlot(0).m_data, valid = miss_0_s2_0 || miss_0_s2_1)
5231d8f4dcbSJay  reservedRefillData(1) := DataHoldBypass(data = missSlot(1).m_data, valid = miss_1_s2_0 || miss_1_s2_1)
5241d8f4dcbSJay
52558dbdfc2SJay  /*** miss state machine ***/
526a61aefd2SJenius
527a61aefd2SJenius  //deal with not-cache-hit pmp af
528a61aefd2SJenius  val only_pmp_af = Wire(Vec(2, Bool()))
529a61aefd2SJenius  only_pmp_af(0) := s2_except_pmp_af(0) && cacheline_0_miss && !s2_except(0) && s2_valid
530a61aefd2SJenius  only_pmp_af(1) := s2_except_pmp_af(1) && cacheline_1_miss && !s2_except(1) && s2_valid && s2_double_line
53158dbdfc2SJay
5321d8f4dcbSJay  switch(wait_state){
5331d8f4dcbSJay    is(wait_idle){
5344a9944cbSJenius      when(only_pmp_af(0) || only_pmp_af(1) || s2_mmio){
535227f2b93SJenius        //should not send req to MissUnit when there is an access exception in PMP
536227f2b93SJenius        //But to avoid using pmp exception in control signal (like s2_fire), should delay 1 cycle.
537227f2b93SJenius        //NOTE: pmp exception cache line also could hit in ICache, but the result is meaningless. Just give the exception signals.
538227f2b93SJenius        wait_state := wait_finish
539227f2b93SJenius      }.elsewhen(miss_0_except_1_latch){
5401d8f4dcbSJay        wait_state :=  Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle )
5411d8f4dcbSJay      }.elsewhen( only_0_miss_latch  || miss_0_hit_1_latch){
5421d8f4dcbSJay        wait_state :=  Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle )
5431d8f4dcbSJay      }.elsewhen(hit_0_miss_1_latch){
5441d8f4dcbSJay        wait_state :=  Mux(toMSHR(1).ready, wait_queue_ready ,wait_idle )
5451d8f4dcbSJay      }.elsewhen( miss_0_miss_1_latch ){
5461d8f4dcbSJay        wait_state := Mux(toMSHR(0).ready && toMSHR(1).ready, wait_queue_ready ,wait_idle)
5471d8f4dcbSJay      }
5481d8f4dcbSJay    }
5491d8f4dcbSJay
5501d8f4dcbSJay    is(wait_queue_ready){
5511d8f4dcbSJay      wait_state := wait_send_req
5521d8f4dcbSJay    }
5531d8f4dcbSJay
5541d8f4dcbSJay    is(wait_send_req) {
5551d8f4dcbSJay      when(miss_0_except_1_latch || only_0_miss_latch || hit_0_miss_1_latch || miss_0_hit_1_latch){
5561d8f4dcbSJay        wait_state :=  wait_one_resp
5571d8f4dcbSJay      }.elsewhen( miss_0_miss_1_latch ){
5581d8f4dcbSJay        wait_state := wait_two_resp
5591d8f4dcbSJay      }
5601d8f4dcbSJay    }
5611d8f4dcbSJay
5621d8f4dcbSJay    is(wait_one_resp) {
5631d8f4dcbSJay      when( (miss_0_except_1_latch ||only_0_miss_latch || miss_0_hit_1_latch) && fromMSHR(0).fire()){
5641d8f4dcbSJay        wait_state := wait_finish
5651d8f4dcbSJay      }.elsewhen( hit_0_miss_1_latch && fromMSHR(1).fire()){
5661d8f4dcbSJay        wait_state := wait_finish
5671d8f4dcbSJay      }
5681d8f4dcbSJay    }
5691d8f4dcbSJay
5701d8f4dcbSJay    is(wait_two_resp) {
5711d8f4dcbSJay      when(fromMSHR(0).fire() && fromMSHR(1).fire()){
5721d8f4dcbSJay        wait_state := wait_finish
5731d8f4dcbSJay      }.elsewhen( !fromMSHR(0).fire() && fromMSHR(1).fire() ){
5741d8f4dcbSJay        wait_state := wait_0_resp
5751d8f4dcbSJay      }.elsewhen(fromMSHR(0).fire() && !fromMSHR(1).fire()){
5761d8f4dcbSJay        wait_state := wait_1_resp
5771d8f4dcbSJay      }
5781d8f4dcbSJay    }
5791d8f4dcbSJay
5801d8f4dcbSJay    is(wait_0_resp) {
5811d8f4dcbSJay      when(fromMSHR(0).fire()){
5821d8f4dcbSJay        wait_state := wait_finish
5831d8f4dcbSJay      }
5841d8f4dcbSJay    }
5851d8f4dcbSJay
5861d8f4dcbSJay    is(wait_1_resp) {
5871d8f4dcbSJay      when(fromMSHR(1).fire()){
5881d8f4dcbSJay        wait_state := wait_finish
5891d8f4dcbSJay      }
5901d8f4dcbSJay    }
5911d8f4dcbSJay
5922a25dbb4SJay    is(wait_finish) {when(s2_fire) {wait_state := wait_idle }
5931d8f4dcbSJay    }
5941d8f4dcbSJay  }
5951d8f4dcbSJay
5961d8f4dcbSJay
59758dbdfc2SJay  /*** send request to MissUnit ***/
59858dbdfc2SJay
5991d8f4dcbSJay  (0 until 2).map { i =>
6001d8f4dcbSJay    if(i == 1) toMSHR(i).valid   := (hit_0_miss_1_latch || miss_0_miss_1_latch) && wait_state === wait_queue_ready && !s2_mmio
6011d8f4dcbSJay        else     toMSHR(i).valid := (only_0_miss_latch || miss_0_hit_1_latch || miss_0_miss_1_latch || miss_0_except_1_latch) && wait_state === wait_queue_ready && !s2_mmio
6021d8f4dcbSJay    toMSHR(i).bits.paddr    := s2_req_paddr(i)
6031d8f4dcbSJay    toMSHR(i).bits.vaddr    := s2_req_vaddr(i)
6041d8f4dcbSJay    toMSHR(i).bits.waymask  := s2_waymask(i)
6051d8f4dcbSJay    toMSHR(i).bits.coh      := s2_victim_coh(i)
6061d8f4dcbSJay
6071d8f4dcbSJay
6081d8f4dcbSJay    when(toMSHR(i).fire() && missStateQueue(i) === m_invalid){
6091d8f4dcbSJay      missStateQueue(i)     := m_valid
6101d8f4dcbSJay      missSlot(i).m_vSetIdx := s2_req_vsetIdx(i)
6111d8f4dcbSJay      missSlot(i).m_pTag    := get_phy_tag(s2_req_paddr(i))
6121d8f4dcbSJay    }
6131d8f4dcbSJay
6141d8f4dcbSJay    when(fromMSHR(i).fire() && missStateQueue(i) === m_valid ){
6151d8f4dcbSJay      missStateQueue(i)         := m_refilled
6161d8f4dcbSJay      missSlot(i).m_data        := fromMSHR(i).bits.data
61758dbdfc2SJay      missSlot(i).m_corrupt     := fromMSHR(i).bits.corrupt
6181d8f4dcbSJay    }
6191d8f4dcbSJay
6201d8f4dcbSJay
6211d8f4dcbSJay    when(s2_fire && missStateQueue(i) === m_refilled){
6221d8f4dcbSJay      missStateQueue(i)     := m_wait_sec_miss
6231d8f4dcbSJay    }
6241d8f4dcbSJay
6252a3050c2SJay    /*** Only the first cycle to check whether meet the secondary miss ***/
6261d8f4dcbSJay    when(missStateQueue(i) === m_wait_sec_miss){
6272a3050c2SJay      /*** The seondary req has been fix by this slot and another also hit || the secondary req for other cacheline and hit ***/
6281d8f4dcbSJay      when((slot_slove(i) && s2_fire) || (!slot_slove(i) && s2_fire) ) {
6291d8f4dcbSJay        missStateQueue(i)     := m_invalid
6301d8f4dcbSJay      }
6312a3050c2SJay      /*** The seondary req has been fix by this slot but another miss/f3 not ready || the seondary req for other cacheline and miss ***/
6321d8f4dcbSJay      .elsewhen((slot_slove(i) && !s2_fire && s2_valid) ||  (s2_valid && !slot_slove(i) && !s2_fire) ){
6331d8f4dcbSJay        missStateQueue(i)     := m_check_final
6341d8f4dcbSJay      }
6351d8f4dcbSJay    }
6361d8f4dcbSJay
6371d8f4dcbSJay    when(missStateQueue(i) === m_check_final && toMSHR(i).fire()){
6381d8f4dcbSJay      missStateQueue(i)     :=  m_valid
6391d8f4dcbSJay      missSlot(i).m_vSetIdx := s2_req_vsetIdx(i)
6401d8f4dcbSJay      missSlot(i).m_pTag    := get_phy_tag(s2_req_paddr(i))
6411d8f4dcbSJay    }.elsewhen(missStateQueue(i) === m_check_final) {
6421d8f4dcbSJay      missStateQueue(i)     :=  m_invalid
6431d8f4dcbSJay    }
6441d8f4dcbSJay  }
6451d8f4dcbSJay
646f1fe8698SLemover  io.prefetchEnable := false.B
647f1fe8698SLemover  io.prefetchDisable := false.B
6487052722fSJay  when(toMSHR.map(_.valid).reduce(_||_)){
6497052722fSJay    missSwitchBit := true.B
650a108d429SJay    io.prefetchEnable := true.B
6517052722fSJay  }.elsewhen(missSwitchBit && s2_fetch_finish){
6527052722fSJay    missSwitchBit := false.B
653a108d429SJay    io.prefetchDisable := true.B
6547052722fSJay  }
6557052722fSJay
656a108d429SJay
657a8fabd82SJenius  val miss_all_fix       =  wait_state === wait_finish
658227f2b93SJenius
659227f2b93SJenius  s2_fetch_finish        := ((s2_valid && s2_fixed_hit) || miss_all_fix || hit_0_except_1_latch || except_0_latch)
6601d8f4dcbSJay
66158dbdfc2SJay  /** update replacement status register: 0 is hit access/ 1 is miss access */
6621d8f4dcbSJay  (touch_ways zip touch_sets).zipWithIndex.map{ case((t_w,t_s), i) =>
66361e1db30SJay    t_s(0)         := s2_req_vsetIdx(i)
66461e1db30SJay    t_w(0).valid   := s2_valid && s2_port_hit(i)
66561e1db30SJay    t_w(0).bits    := OHToUInt(s2_tag_match_vec(i))
6661d8f4dcbSJay
6671d8f4dcbSJay    t_s(1)         := s2_req_vsetIdx(i)
6681d8f4dcbSJay    t_w(1).valid   := s2_valid && !s2_port_hit(i)
6691d8f4dcbSJay    t_w(1).bits    := OHToUInt(s2_waymask(i))
6701d8f4dcbSJay  }
6711d8f4dcbSJay
6723fbf8eafSJenius  //** use hit one-hot select data
6733fbf8eafSJenius  val s2_hit_datas    = VecInit(s2_data_cacheline.zipWithIndex.map { case(bank, i) =>
6743fbf8eafSJenius    val port_hit_data = Mux1H(s2_tag_match_vec(i).asUInt, bank)
6753fbf8eafSJenius    port_hit_data
6763fbf8eafSJenius  })
6773fbf8eafSJenius
6781d8f4dcbSJay  val s2_datas        = Wire(Vec(2, UInt(blockBits.W)))
6791d8f4dcbSJay
6801d8f4dcbSJay  s2_datas.zipWithIndex.map{case(bank,i) =>
6811d8f4dcbSJay    if(i == 0) bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data)))
6821d8f4dcbSJay    else    bank    := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data)))
6831d8f4dcbSJay  }
6841d8f4dcbSJay
68558dbdfc2SJay  /** response to IFU */
6861d8f4dcbSJay
6871d8f4dcbSJay  (0 until PortNumber).map{ i =>
6881d8f4dcbSJay    if(i ==0) toIFU(i).valid          := s2_fire
6891d8f4dcbSJay       else   toIFU(i).valid          := s2_fire && s2_double_line
6901d8f4dcbSJay    toIFU(i).bits.readData  := s2_datas(i)
6911d8f4dcbSJay    toIFU(i).bits.paddr     := s2_req_paddr(i)
6921d8f4dcbSJay    toIFU(i).bits.vaddr     := s2_req_vaddr(i)
6931d8f4dcbSJay    toIFU(i).bits.tlbExcp.pageFault     := s2_except_pf(i)
694227f2b93SJenius    toIFU(i).bits.tlbExcp.accessFault   := s2_except_tlb_af(i) || missSlot(i).m_corrupt || s2_except_pmp_af(i)
695227f2b93SJenius    toIFU(i).bits.tlbExcp.mmio          := s2_mmio
6969ef181f4SWilliam Wang
6979ef181f4SWilliam Wang    when(RegNext(s2_fire && missSlot(i).m_corrupt)){
6989ef181f4SWilliam Wang      io.errors(i).valid            := true.B
6990f59c834SWilliam Wang      io.errors(i).report_to_beu    := false.B // l2 should have report that to bus error unit, no need to do it again
7000f59c834SWilliam Wang      io.errors(i).paddr            := RegNext(s2_req_paddr(i))
7019ef181f4SWilliam Wang      io.errors(i).source.tag       := false.B
7029ef181f4SWilliam Wang      io.errors(i).source.data      := false.B
7039ef181f4SWilliam Wang      io.errors(i).source.l2        := true.B
7049ef181f4SWilliam Wang    }
7051d8f4dcbSJay  }
7061d8f4dcbSJay
707a108d429SJay  io.perfInfo.only_0_hit    := only_0_hit_latch
7081d8f4dcbSJay  io.perfInfo.only_0_miss   := only_0_miss_latch
7091d8f4dcbSJay  io.perfInfo.hit_0_hit_1   := hit_0_hit_1_latch
7101d8f4dcbSJay  io.perfInfo.hit_0_miss_1  := hit_0_miss_1_latch
7111d8f4dcbSJay  io.perfInfo.miss_0_hit_1  := miss_0_hit_1_latch
7121d8f4dcbSJay  io.perfInfo.miss_0_miss_1 := miss_0_miss_1_latch
713a108d429SJay  io.perfInfo.hit_0_except_1 := hit_0_except_1_latch
714a108d429SJay  io.perfInfo.miss_0_except_1 := miss_0_except_1_latch
715a108d429SJay  io.perfInfo.except_0      := except_0_latch
7161d8f4dcbSJay  io.perfInfo.bank_hit(0)   := only_0_miss_latch  || hit_0_hit_1_latch || hit_0_miss_1_latch || hit_0_except_1_latch
7171d8f4dcbSJay  io.perfInfo.bank_hit(1)   := miss_0_hit_1_latch || hit_0_hit_1_latch
718a108d429SJay  io.perfInfo.hit           := hit_0_hit_1_latch || only_0_hit_latch || hit_0_except_1_latch || except_0_latch
71958dbdfc2SJay
72058dbdfc2SJay  /** <PERF> fetch bubble generated by icache miss*/
72158dbdfc2SJay
72200240ba6SJay  XSPerfAccumulate("icache_bubble_s2_miss",    s2_valid && !s2_fetch_finish )
72358dbdfc2SJay
7241d8f4dcbSJay}
725