11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 22afa866b1Sguohongyuimport difftest.DifftestRefillEvent 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates 241d8f4dcbSJayimport xiangshan._ 251d8f4dcbSJayimport xiangshan.cache.mmu._ 261d8f4dcbSJayimport utils._ 273c02ee8fSwakafaimport utility._ 281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 29f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle} 301d8f4dcbSJay 311d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle 321d8f4dcbSJay{ 331d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 341d8f4dcbSJay def vsetIdx = get_idx(vaddr) 351d8f4dcbSJay} 361d8f4dcbSJay 371d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle 381d8f4dcbSJay{ 391d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 40dc270d3bSJenius val registerData = UInt(blockBits.W) 41dc270d3bSJenius val sramData = UInt(blockBits.W) 42dc270d3bSJenius val select = Bool() 431d8f4dcbSJay val paddr = UInt(PAddrBits.W) 441d8f4dcbSJay val tlbExcp = new Bundle{ 451d8f4dcbSJay val pageFault = Bool() 461d8f4dcbSJay val accessFault = Bool() 471d8f4dcbSJay val mmio = Bool() 481d8f4dcbSJay } 491d8f4dcbSJay} 501d8f4dcbSJay 511d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle 521d8f4dcbSJay{ 53c5c5edaeSJenius val req = Flipped(Decoupled(new FtqToICacheRequestBundle)) 54c5c5edaeSJenius val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp)) 55*d2b20d1aSTang Haojin val topdownIcacheMiss = Output(Bool()) 56*d2b20d1aSTang Haojin val topdownItlbMiss = Output(Bool()) 571d8f4dcbSJay} 581d8f4dcbSJay 591d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{ 60afed18b5SJenius val toIMeta = DecoupledIO(new ICacheReadBundle) 611d8f4dcbSJay val fromIMeta = Input(new ICacheMetaRespBundle) 621d8f4dcbSJay} 631d8f4dcbSJay 641d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{ 652da4ac8cSJenius val toIData = DecoupledIO(Vec(partWayNum, new ICacheReadBundle)) 661d8f4dcbSJay val fromIData = Input(new ICacheDataRespBundle) 671d8f4dcbSJay} 681d8f4dcbSJay 691d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{ 701d8f4dcbSJay val toMSHR = Decoupled(new ICacheMissReq) 711d8f4dcbSJay val fromMSHR = Flipped(ValidIO(new ICacheMissResp)) 721d8f4dcbSJay} 731d8f4dcbSJay 741d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{ 751d8f4dcbSJay val req = Valid(new PMPReqBundle()) 761d8f4dcbSJay val resp = Input(new PMPRespBundle()) 771d8f4dcbSJay} 781d8f4dcbSJay 791d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{ 801d8f4dcbSJay val only_0_hit = Bool() 811d8f4dcbSJay val only_0_miss = Bool() 821d8f4dcbSJay val hit_0_hit_1 = Bool() 831d8f4dcbSJay val hit_0_miss_1 = Bool() 841d8f4dcbSJay val miss_0_hit_1 = Bool() 851d8f4dcbSJay val miss_0_miss_1 = Bool() 86a108d429SJay val hit_0_except_1 = Bool() 87a108d429SJay val miss_0_except_1 = Bool() 88a108d429SJay val except_0 = Bool() 891d8f4dcbSJay val bank_hit = Vec(2,Bool()) 901d8f4dcbSJay val hit = Bool() 911d8f4dcbSJay} 921d8f4dcbSJay 931d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle { 94c2ba7c80Sguohongyu val hartId = Input(UInt(8.W)) 952a3050c2SJay /*** internal interface ***/ 961d8f4dcbSJay val metaArray = new ICacheMetaReqBundle 971d8f4dcbSJay val dataArray = new ICacheDataReqBundle 98b1ded4e8Sguohongyu /** prefetch io */ 99b1ded4e8Sguohongyu val iprefetchBuf = Flipped(new IPFBufferRead) 100b1ded4e8Sguohongyu val PIQ = Flipped(Vec(nPrefetchEntries,new PIQToMainPipe)) 101b1ded4e8Sguohongyu val IPFBufMove = Flipped(new IPFBufferMove) 102b1ded4e8Sguohongyu val mainPipeMissInfo = new MainPipeMissInfo() 103974a902cSguohongyu val missSlotInfo = Vec(PortNumber, ValidIO(new MainPipeToPrefetchPipe)) 104b1ded4e8Sguohongyu 1051d8f4dcbSJay val mshr = Vec(PortNumber, new ICacheMSHRBundle) 10658dbdfc2SJay val errors = Output(Vec(PortNumber, new L1CacheErrorInfo)) 1072a3050c2SJay /*** outside interface ***/ 108c5c5edaeSJenius //val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 109c5c5edaeSJenius /* when ftq.valid is high in T + 1 cycle 110c5c5edaeSJenius * the ftq component must be valid in T cycle 111c5c5edaeSJenius */ 112c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 1131d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 114f1fe8698SLemover val itlb = Vec(PortNumber, new TlbRequestIO) 1151d8f4dcbSJay val respStall = Input(Bool()) 1161d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 11758dbdfc2SJay 118a108d429SJay val prefetchEnable = Output(Bool()) 119a108d429SJay val prefetchDisable = Output(Bool()) 120ecccf78fSJay val csr_parity_enable = Input(Bool()) 121ecccf78fSJay 1221d8f4dcbSJay} 1231d8f4dcbSJay 1241d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule 1251d8f4dcbSJay{ 1261d8f4dcbSJay val io = IO(new ICacheMainPipeInterface) 1271d8f4dcbSJay 12858dbdfc2SJay /** Input/Output port */ 129c5c5edaeSJenius val (fromFtq, toIFU) = (io.fetch.req, io.fetch.resp) 1302a3050c2SJay val (toMeta, metaResp) = (io.metaArray.toIMeta, io.metaArray.fromIMeta) 1312a3050c2SJay val (toData, dataResp) = (io.dataArray.toIData, io.dataArray.fromIData) 132b1ded4e8Sguohongyu val (toIPF, fromIPF) = (io.iprefetchBuf.req, io.iprefetchBuf.resp) 1331d8f4dcbSJay val (toMSHR, fromMSHR) = (io.mshr.map(_.toMSHR), io.mshr.map(_.fromMSHR)) 1341d8f4dcbSJay val (toITLB, fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp)) 1351d8f4dcbSJay val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 136b1ded4e8Sguohongyu val fromPIQ = io.PIQ.map(_.info) 137b1ded4e8Sguohongyu val IPFBufferMove = io.IPFBufMove 138974a902cSguohongyu val missSlotInfo = io.missSlotInfo 139b1ded4e8Sguohongyu val mainPipeMissInfo = io.mainPipeMissInfo 140b1ded4e8Sguohongyu 141c3b763d0SYinan Xu io.itlb.foreach(_.req_kill := false.B) 1421d8f4dcbSJay 143b1ded4e8Sguohongyu 144c5c5edaeSJenius //Ftq RegNext Register 145b004fa13SJenius val fromFtqReq = fromFtq.bits.pcMemRead 146c5c5edaeSJenius 14758dbdfc2SJay /** pipeline control signal */ 148f1fe8698SLemover val s1_ready, s2_ready = Wire(Bool()) 149f1fe8698SLemover val s0_fire, s1_fire , s2_fire = Wire(Bool()) 1501d8f4dcbSJay 1517052722fSJay val missSwitchBit = RegInit(false.B) 1527052722fSJay 15358dbdfc2SJay /** replacement status register */ 15458dbdfc2SJay val touch_sets = Seq.fill(2)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W)))) 15558dbdfc2SJay val touch_ways = Seq.fill(2)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))) ) 15658dbdfc2SJay 1572a3050c2SJay /** 1582a3050c2SJay ****************************************************************************** 15958dbdfc2SJay * ICache Stage 0 16058dbdfc2SJay * - send req to ITLB and wait for tlb miss fixing 16158dbdfc2SJay * - send req to Meta/Data SRAM 1622a3050c2SJay ****************************************************************************** 1632a3050c2SJay */ 1642a3050c2SJay 16558dbdfc2SJay /** s0 control */ 166c5c5edaeSJenius val s0_valid = fromFtq.valid 167f56177cbSJenius val s0_req_vaddr = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart))) 168f56177cbSJenius val s0_req_vsetIdx = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr(i).map(get_idx(_)))) 169dc270d3bSJenius val s0_only_first = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && !fromFtqReq(i).crossCacheline) 170dc270d3bSJenius val s0_double_line = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline) 1711d8f4dcbSJay 172f1fe8698SLemover val s0_final_valid = s0_valid 173fd0ecf27SLingrui98 val s0_final_vaddr = s0_req_vaddr.head 174fd0ecf27SLingrui98 val s0_final_vsetIdx = s0_req_vsetIdx.head 175fd0ecf27SLingrui98 val s0_final_only_first = s0_only_first.head 176fd0ecf27SLingrui98 val s0_final_double_line = s0_double_line.head 17761e1db30SJay 17858dbdfc2SJay /** SRAM request */ 179f56177cbSJenius //0 -> metaread, 1,2,3 -> data, 3 -> code 4 -> itlb 18038160951Sguohongyu // TODO: it seems like 0,1,2,3 -> dataArray(data); 3 -> dataArray(code); 0 -> metaArray; 4 -> itlb 181f56177cbSJenius val ftq_req_to_data_doubleline = s0_double_line.init 182f56177cbSJenius val ftq_req_to_data_vset_idx = s0_req_vsetIdx.init 183dc270d3bSJenius val ftq_req_to_data_valid = fromFtq.bits.readValid.init 184f56177cbSJenius 185f56177cbSJenius val ftq_req_to_meta_doubleline = s0_double_line.head 186f56177cbSJenius val ftq_req_to_meta_vset_idx = s0_req_vsetIdx.head 187f56177cbSJenius 188f56177cbSJenius val ftq_req_to_itlb_only_first = s0_only_first.last 189f56177cbSJenius val ftq_req_to_itlb_doubleline = s0_double_line.last 190f56177cbSJenius val ftq_req_to_itlb_vaddr = s0_req_vaddr.last 191f56177cbSJenius val ftq_req_to_itlb_vset_idx = s0_req_vsetIdx.last 192f56177cbSJenius 193f56177cbSJenius 194fd0ecf27SLingrui98 for(i <- 0 until partWayNum) { 195dc270d3bSJenius toData.valid := ftq_req_to_data_valid(i) && !missSwitchBit 196f56177cbSJenius toData.bits(i).isDoubleLine := ftq_req_to_data_doubleline(i) 197f56177cbSJenius toData.bits(i).vSetIdx := ftq_req_to_data_vset_idx(i) 1981d8f4dcbSJay } 199afed18b5SJenius 200afed18b5SJenius toMeta.valid := s0_valid && !missSwitchBit 201f56177cbSJenius toMeta.bits.isDoubleLine := ftq_req_to_meta_doubleline 202f56177cbSJenius toMeta.bits.vSetIdx := ftq_req_to_meta_vset_idx 203afed18b5SJenius 204afed18b5SJenius 205b127c1edSJay toITLB(0).valid := s0_valid 2062a3050c2SJay toITLB(0).bits.size := 3.U // TODO: fix the size 207f56177cbSJenius toITLB(0).bits.vaddr := ftq_req_to_itlb_vaddr(0) 208f56177cbSJenius toITLB(0).bits.debug.pc := ftq_req_to_itlb_vaddr(0) 2092a3050c2SJay 210f56177cbSJenius toITLB(1).valid := s0_valid && ftq_req_to_itlb_doubleline 2112a3050c2SJay toITLB(1).bits.size := 3.U // TODO: fix the size 212f56177cbSJenius toITLB(1).bits.vaddr := ftq_req_to_itlb_vaddr(1) 213f56177cbSJenius toITLB(1).bits.debug.pc := ftq_req_to_itlb_vaddr(1) 21491df15e5SJay 2152a3050c2SJay toITLB.map{port => 2162a3050c2SJay port.bits.cmd := TlbCmd.exec 2178744445eSMaxpicca-Li port.bits.memidx := DontCare 218f1fe8698SLemover port.bits.debug.robIdx := DontCare 219b52348aeSWilliam Wang port.bits.no_translate := false.B 2202a3050c2SJay port.bits.debug.isFirstIssue := DontCare 2212a3050c2SJay } 2222a3050c2SJay 223f1fe8698SLemover /** ITLB & ICACHE sync case 224f1fe8698SLemover * when icache is not ready, but itlb is ready 225f1fe8698SLemover * because itlb is non-block, then the req will take the port 226f1fe8698SLemover * then itlb will unset the ready?? itlb is wrongly blocked. 227f1fe8698SLemover * Solution: maybe give itlb a signal to tell whether acquire the slot? 228f1fe8698SLemover */ 2292a3050c2SJay 230f1fe8698SLemover val itlb_can_go = toITLB(0).ready && toITLB(1).ready 231afed18b5SJenius val icache_can_go = toData.ready && toMeta.ready 232f1fe8698SLemover val pipe_can_go = !missSwitchBit && s1_ready 233f1fe8698SLemover val s0_can_go = itlb_can_go && icache_can_go && pipe_can_go 234f1fe8698SLemover val s0_fetch_fire = s0_valid && s0_can_go 235f1fe8698SLemover s0_fire := s0_fetch_fire 236f1fe8698SLemover toITLB.map{port => port.bits.kill := !icache_can_go || !pipe_can_go} 2377052722fSJay 2387052722fSJay //TODO: fix GTimer() condition 239c5c5edaeSJenius fromFtq.ready := s0_can_go 240f1fe8698SLemover 2412a3050c2SJay /** 2422a3050c2SJay ****************************************************************************** 24358dbdfc2SJay * ICache Stage 1 24458dbdfc2SJay * - get tlb resp data (exceptiong info and physical addresses) 24558dbdfc2SJay * - get Meta/Data SRAM read responses (latched for pipeline stop) 24658dbdfc2SJay * - tag compare/hit check 2472a3050c2SJay ****************************************************************************** 2482a3050c2SJay */ 2491d8f4dcbSJay 25058dbdfc2SJay /** s1 control */ 2511d8f4dcbSJay 252f1fe8698SLemover val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = false.B, lastFlush = false.B) 2531d8f4dcbSJay 254005e809bSJiuyang Liu val s1_req_vaddr = RegEnable(s0_final_vaddr, s0_fire) 255005e809bSJiuyang Liu val s1_req_vsetIdx = RegEnable(s0_final_vsetIdx, s0_fire) 256005e809bSJiuyang Liu val s1_only_first = RegEnable(s0_final_only_first, s0_fire) 257005e809bSJiuyang Liu val s1_double_line = RegEnable(s0_final_double_line, s0_fire) 258b1ded4e8Sguohongyu val s1_wait = Wire(Bool()) 2591d8f4dcbSJay 26058dbdfc2SJay /** tlb response latch for pipeline stop */ 261f1fe8698SLemover val tlb_back = fromITLB.map(_.fire()) 262f1fe8698SLemover val tlb_need_back = VecInit((0 until PortNumber).map(i => ValidHold(s0_fire && toITLB(i).fire(), s1_fire, false.B))) 263f1fe8698SLemover val tlb_already_recv = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 264f1fe8698SLemover val tlb_ready_recv = VecInit((0 until PortNumber).map(i => RegNext(s0_fire, false.B) || (s1_valid && !tlb_already_recv(i)))) 265f1fe8698SLemover val tlb_resp_valid = Wire(Vec(2, Bool())) 266f1fe8698SLemover for (i <- 0 until PortNumber) { 267f1fe8698SLemover tlb_resp_valid(i) := tlb_already_recv(i) || (tlb_ready_recv(i) && tlb_back(i)) 268f1fe8698SLemover when (tlb_already_recv(i) && s1_fire) { 269f1fe8698SLemover tlb_already_recv(i) := false.B 270f1fe8698SLemover } 271f1fe8698SLemover when (tlb_back(i) && tlb_ready_recv(i) && !s1_fire) { 272f1fe8698SLemover tlb_already_recv(i) := true.B 273f1fe8698SLemover } 274f1fe8698SLemover fromITLB(i).ready := tlb_ready_recv(i) 275f1fe8698SLemover } 276f1fe8698SLemover assert(RegNext(Cat((0 until PortNumber).map(i => tlb_need_back(i) || !tlb_resp_valid(i))).andR(), true.B), 277f1fe8698SLemover "when tlb should not back, tlb should not resp valid") 278f1fe8698SLemover assert(RegNext(!s1_valid || Cat(tlb_need_back).orR, true.B), "when s1_valid, need at least one tlb_need_back") 279f1fe8698SLemover assert(RegNext(s1_valid || !Cat(tlb_need_back).orR, true.B), "when !s1_valid, all the tlb_need_back should be false") 280f1fe8698SLemover assert(RegNext(s1_valid || !Cat(tlb_already_recv).orR, true.B), "when !s1_valid, should not tlb_already_recv") 281f1fe8698SLemover assert(RegNext(s1_valid || !Cat(tlb_resp_valid).orR, true.B), "when !s1_valid, should not tlb_resp_valid") 2821d8f4dcbSJay 28303efd994Shappy-lx val tlbRespPAddr = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.paddr(0)))) 28403efd994Shappy-lx val tlbExcpPF = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.excp(0).pf.instr) && tlb_need_back(i))) 28503efd994Shappy-lx val tlbExcpAF = VecInit((0 until PortNumber).map(i => ResultHoldBypass(valid = tlb_back(i), data = fromITLB(i).bits.excp(0).af.instr) && tlb_need_back(i))) 286f1fe8698SLemover val tlbExcp = VecInit((0 until PortNumber).map(i => tlbExcpPF(i) || tlbExcpPF(i))) 2872a3050c2SJay 288f1fe8698SLemover val tlbRespAllValid = Cat((0 until PortNumber).map(i => !tlb_need_back(i) || tlb_resp_valid(i))).andR 289b1ded4e8Sguohongyu s1_ready := s2_ready && tlbRespAllValid && !s1_wait || !s1_valid 290b1ded4e8Sguohongyu s1_fire := s1_valid && tlbRespAllValid && s2_ready && !s1_wait 2911d8f4dcbSJay 292*d2b20d1aSTang Haojin def numOfStage = 3 293*d2b20d1aSTang Haojin val itlbMissStage = RegInit(VecInit(Seq.fill(numOfStage - 1)(0.B))) 294*d2b20d1aSTang Haojin itlbMissStage(0) := !tlbRespAllValid 295*d2b20d1aSTang Haojin for (i <- 1 until numOfStage - 1) { 296*d2b20d1aSTang Haojin itlbMissStage(i) := itlbMissStage(i - 1) 297*d2b20d1aSTang Haojin } 298*d2b20d1aSTang Haojin 299*d2b20d1aSTang Haojin 30058dbdfc2SJay /** s1 hit check/tag compare */ 3011d8f4dcbSJay val s1_req_paddr = tlbRespPAddr 3021d8f4dcbSJay val s1_req_ptags = VecInit(s1_req_paddr.map(get_phy_tag(_))) 3031d8f4dcbSJay 304ccfc2e22SJay val s1_meta_ptags = ResultHoldBypass(data = metaResp.tags, valid = RegNext(s0_fire)) 30560672d5eSguohongyu val s1_meta_valids = ResultHoldBypass(data = metaResp.entryValid, valid = RegNext(s0_fire)) 30658dbdfc2SJay val s1_meta_errors = ResultHoldBypass(data = metaResp.errors, valid = RegNext(s0_fire)) 30758dbdfc2SJay 308ccfc2e22SJay val s1_data_cacheline = ResultHoldBypass(data = dataResp.datas, valid = RegNext(s0_fire)) 30979b191f7SJay val s1_data_errorBits = ResultHoldBypass(data = dataResp.codes, valid = RegNext(s0_fire)) 3101d8f4dcbSJay 3111d8f4dcbSJay val s1_tag_eq_vec = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w => s1_meta_ptags(p)(w) === s1_req_ptags(p) )))) 31260672d5eSguohongyu val s1_tag_match_vec = VecInit((0 until PortNumber).map( k => VecInit(s1_tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w) /*s1_meta_cohs(k)(w).isValid()*/}))) 3131d8f4dcbSJay val s1_tag_match = VecInit(s1_tag_match_vec.map(vector => ParallelOR(vector))) 3141d8f4dcbSJay 315f1fe8698SLemover val s1_port_hit = VecInit(Seq(s1_tag_match(0) && s1_valid && !tlbExcp(0), s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) )) 316f1fe8698SLemover val s1_bank_miss = VecInit(Seq(!s1_tag_match(0) && s1_valid && !tlbExcp(0), !s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) )) 3171d8f4dcbSJay val s1_hit = (s1_port_hit(0) && s1_port_hit(1)) || (!s1_double_line && s1_port_hit(0)) 3181d8f4dcbSJay 3191d8f4dcbSJay /** choose victim cacheline */ 3205b0cc873Sguohongyu val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber)) 3215b0cc873Sguohongyu val s1_victim_oh = ResultHoldBypass(data = VecInit(replacers.zipWithIndex.map{case (replacer, i) => UIntToOH(replacer.way(s1_req_vsetIdx(i)(highestIdxBit, 1)))}), valid = RegNext(s0_fire)) 3221d8f4dcbSJay 3231d8f4dcbSJay 32440c35714Sguohongyu when(s1_fire){ 325f304ee97Sguohongyu// when (!(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line))) { 326f304ee97Sguohongyu// printf("Multiple hit in main pipe\n") 327f304ee97Sguohongyu// } 328f304ee97Sguohongyu assert(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line), 329f304ee97Sguohongyu "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ", 330f304ee97Sguohongyu PopCount(s1_tag_match_vec(0)) > 1.U,s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0), 331f304ee97Sguohongyu PopCount(s1_tag_match_vec(1)) > 1.U && s1_double_line, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1)) 332ff1018c6SJenius } 3331d8f4dcbSJay 3341d8f4dcbSJay ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)} 3351d8f4dcbSJay 336b1ded4e8Sguohongyu IPFBufferMove.waymask := UIntToOH(replacers(0).way(IPFBufferMove.vsetIdx)) 337b1ded4e8Sguohongyu /** check ipf */ 338b1ded4e8Sguohongyu toIPF(0).valid := s1_valid && tlb_resp_valid(0) 339b1ded4e8Sguohongyu toIPF(1).valid := s1_valid && s1_double_line && tlb_resp_valid(1) 340b1ded4e8Sguohongyu (0 until PortNumber).foreach { i => 341b1ded4e8Sguohongyu toIPF(i).bits.vaddr := s1_req_vaddr(i) 342b1ded4e8Sguohongyu toIPF(i).bits.paddr := s1_req_paddr(i) 343b1ded4e8Sguohongyu } 344b1ded4e8Sguohongyu val s1_ipf_hit = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromIPF(i).valid && fromIPF(i).bits.ipf_hit)) // check in same cycle 345b1ded4e8Sguohongyu val s1_ipf_hit_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_ipf_hit(i), release = s1_fire, flush = false.B))) // when ipf return hit data, latch it! 346b1ded4e8Sguohongyu val s1_ipf_data = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromIPF(i).bits.cacheline, valid = s1_ipf_hit(i)))) 347b1ded4e8Sguohongyu 348b1ded4e8Sguohongyu /** check in PIQ, if hit, wait until prefetch port hit */ 349b1ded4e8Sguohongyu //TODO: move this to PIQ 350b1ded4e8Sguohongyu val PIQ_hold_res = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 351b1ded4e8Sguohongyu fromPIQ.foreach(_.ready := true.B) 352b1ded4e8Sguohongyu val PIQ_hit_oh = VecInit((0 until PortNumber).map(i => 353b1ded4e8Sguohongyu VecInit(fromPIQ.map(entry => entry.valid && 354b1ded4e8Sguohongyu entry.bits.vSetIdx === s1_req_vsetIdx(i) && 3550cd417d2Sguohongyu entry.bits.ptage === s1_req_ptags(i))))) // TODO : when piq1 has data piq0 miss but both hit,now we still need stall 356cb9c9c0fSguohongyu (0 until PortNumber).foreach(i => assert(PopCount(PIQ_hit_oh(i)) <= 1.U, "multiple hit in PIQ\n")) 357b1ded4e8Sguohongyu val PIQ_hit = VecInit(Seq(PIQ_hit_oh(0).reduce(_||_) && s1_valid && tlbRespAllValid, PIQ_hit_oh(1).reduce(_||_) && s1_valid && s1_double_line && tlbRespAllValid)) // TODO: Handle TLB blocking in the PIQ 358cb9c9c0fSguohongyu val PIQ_hit_data = VecInit((0 until PortNumber).map(i => Mux1H(PIQ_hit_oh(i), fromPIQ.map(_.bits.cacheline)))) 359cb9c9c0fSguohongyu val PIQ_data_valid = VecInit((0 until PortNumber).map(i => Mux1H(PIQ_hit_oh(i), fromPIQ.map(_.bits.writeBack)))) 360b1ded4e8Sguohongyu val s1_wait_vec = VecInit((0 until PortNumber).map(i => !s1_port_hit(i) && !s1_ipf_hit_latch(i) && PIQ_hit(i) && !PIQ_data_valid(i) && !PIQ_hold_res(i))) 361b1ded4e8Sguohongyu val PIQ_write_back = VecInit((0 until PortNumber).map(i => !s1_port_hit(i) && !s1_ipf_hit_latch(i) && PIQ_hit(i) && PIQ_data_valid(i))) 362b1ded4e8Sguohongyu val s1_PIQ_hit = VecInit((0 until PortNumber).map(i => PIQ_write_back(i) || PIQ_hold_res(i))) 3630cd417d2Sguohongyu s1_wait := s1_valid && ((s1_wait_vec(0) && !tlbExcp(0)) || (s1_double_line && s1_wait_vec(1) && !tlbExcp(0) && !tlbExcp(1))) 364b1ded4e8Sguohongyu 365b1ded4e8Sguohongyu (0 until PortNumber).foreach(i => 366b1ded4e8Sguohongyu when(s1_fire){ 367b1ded4e8Sguohongyu PIQ_hold_res(i) := false.B 368b1ded4e8Sguohongyu }.elsewhen(PIQ_write_back(i)){ 369b1ded4e8Sguohongyu PIQ_hold_res(i) := true.B 370b1ded4e8Sguohongyu } 371b1ded4e8Sguohongyu ) 372b1ded4e8Sguohongyu 373b1ded4e8Sguohongyu val s1_PIQ_data = VecInit((0 until PortNumber).map( 374b1ded4e8Sguohongyu i => 375b1ded4e8Sguohongyu ResultHoldBypass(data = PIQ_hit_data(i), valid = PIQ_write_back(i)) 376b1ded4e8Sguohongyu )) 377b1ded4e8Sguohongyu 378b1ded4e8Sguohongyu val s1_prefetch_hit = VecInit((0 until PortNumber).map(i => s1_ipf_hit_latch(i) || s1_PIQ_hit(i))) 379b1ded4e8Sguohongyu val s1_prefetch_hit_data = VecInit((0 until PortNumber).map(i => Mux(s1_ipf_hit_latch(i),s1_ipf_data(i), s1_PIQ_data(i)))) 380b1ded4e8Sguohongyu 381ebfdba16Sguohongyu if (env.EnableDifftest) { 382afa866b1Sguohongyu (0 until PortNumber).foreach { i => 383afa866b1Sguohongyu val diffPIQ = Module(new DifftestRefillEvent) 384afa866b1Sguohongyu diffPIQ.io.clock := clock 385c2ba7c80Sguohongyu diffPIQ.io.coreid := io.hartId 386afa866b1Sguohongyu diffPIQ.io.cacheid := (i + 7).U 387afa866b1Sguohongyu if (i == 0) diffPIQ.io.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_PIQ_hit(i) && !tlbExcp(0) 388afa866b1Sguohongyu else diffPIQ.io.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_PIQ_hit(i) && s1_double_line && !tlbExcp(0) && !tlbExcp(1) 389afa866b1Sguohongyu diffPIQ.io.addr := s1_req_paddr(i) 390afa866b1Sguohongyu diffPIQ.io.data := s1_PIQ_data(i).asTypeOf(diffPIQ.io.data) 391afa866b1Sguohongyu } 392ebfdba16Sguohongyu } 393afa866b1Sguohongyu 394b1ded4e8Sguohongyu /** when tlb stall, ipfBuffer stage2 need also stall */ 395b1ded4e8Sguohongyu mainPipeMissInfo.s1_already_check_ipf := s1_valid && tlbRespAllValid // when tlb back, s1 must has already check ipf 396b1ded4e8Sguohongyu 39758dbdfc2SJay /** <PERF> replace victim way number */ 39858dbdfc2SJay 3991d8f4dcbSJay (0 until nWays).map{ w => 4001d8f4dcbSJay XSPerfAccumulate("line_0_hit_way_" + Integer.toString(w, 10), s1_fire && s1_port_hit(0) && OHToUInt(s1_tag_match_vec(0)) === w.U) 4011d8f4dcbSJay } 4021d8f4dcbSJay 4031d8f4dcbSJay (0 until nWays).map{ w => 4041d8f4dcbSJay XSPerfAccumulate("line_0_victim_way_" + Integer.toString(w, 10), s1_fire && !s1_port_hit(0) && OHToUInt(s1_victim_oh(0)) === w.U) 4051d8f4dcbSJay } 4061d8f4dcbSJay 4071d8f4dcbSJay (0 until nWays).map{ w => 4081d8f4dcbSJay XSPerfAccumulate("line_1_hit_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && s1_port_hit(1) && OHToUInt(s1_tag_match_vec(1)) === w.U) 4091d8f4dcbSJay } 4101d8f4dcbSJay 4111d8f4dcbSJay (0 until nWays).map{ w => 4121d8f4dcbSJay XSPerfAccumulate("line_1_victim_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && !s1_port_hit(1) && OHToUInt(s1_victim_oh(1)) === w.U) 4131d8f4dcbSJay } 4141d8f4dcbSJay 415b1ded4e8Sguohongyu XSPerfAccumulate("mainPipe_stage1_block_by_piq_cycles", s1_valid && s1_wait) 416b1ded4e8Sguohongyu 4172a3050c2SJay /** 4182a3050c2SJay ****************************************************************************** 41958dbdfc2SJay * ICache Stage 2 42058dbdfc2SJay * - send request to MSHR if ICache miss 42158dbdfc2SJay * - generate secondary miss status/data registers 42258dbdfc2SJay * - response to IFU 4232a3050c2SJay ****************************************************************************** 4242a3050c2SJay */ 42558dbdfc2SJay 42658dbdfc2SJay /** s2 control */ 4271d8f4dcbSJay val s2_fetch_finish = Wire(Bool()) 4281d8f4dcbSJay 429f1fe8698SLemover val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = false.B, lastFlush = false.B) 4301d8f4dcbSJay val s2_miss_available = Wire(Bool()) 4311d8f4dcbSJay 4321d8f4dcbSJay s2_ready := (s2_valid && s2_fetch_finish && !io.respStall) || (!s2_valid && s2_miss_available) 4331d8f4dcbSJay s2_fire := s2_valid && s2_fetch_finish && !io.respStall 4341d8f4dcbSJay 43558dbdfc2SJay /** s2 data */ 4361d8f4dcbSJay val mmio = fromPMP.map(port => port.mmio) // TODO: handle it 4371d8f4dcbSJay 438005e809bSJiuyang Liu val (s2_req_paddr , s2_req_vaddr) = (RegEnable(s1_req_paddr, s1_fire), RegEnable(s1_req_vaddr, s1_fire)) 439005e809bSJiuyang Liu val s2_req_vsetIdx = RegEnable(s1_req_vsetIdx, s1_fire) 440005e809bSJiuyang Liu val s2_req_ptags = RegEnable(s1_req_ptags, s1_fire) 441005e809bSJiuyang Liu val s2_only_first = RegEnable(s1_only_first, s1_fire) 442005e809bSJiuyang Liu val s2_double_line = RegEnable(s1_double_line, s1_fire) 443005e809bSJiuyang Liu val s2_hit = RegEnable(s1_hit , s1_fire) 444005e809bSJiuyang Liu val s2_port_hit = RegEnable(s1_port_hit, s1_fire) 445005e809bSJiuyang Liu val s2_bank_miss = RegEnable(s1_bank_miss, s1_fire) 446005e809bSJiuyang Liu val s2_waymask = RegEnable(s1_victim_oh, s1_fire) 447005e809bSJiuyang Liu val s2_tag_match_vec = RegEnable(s1_tag_match_vec, s1_fire) 448b1ded4e8Sguohongyu val s2_prefetch_hit = RegEnable(s1_prefetch_hit, s1_fire) 449b1ded4e8Sguohongyu val s2_prefetch_hit_data = RegEnable(s1_prefetch_hit_data, s1_fire) 450afa866b1Sguohongyu val s2_prefetch_hit_in_ipf = RegEnable(s1_ipf_hit_latch, s1_fire) 451afa866b1Sguohongyu val s2_prefetch_hit_in_piq = RegEnable(s1_PIQ_hit, s1_fire) 4521d8f4dcbSJay 453*d2b20d1aSTang Haojin val icacheMissStage = RegInit(VecInit(Seq.fill(numOfStage - 2)(0.B))) 454*d2b20d1aSTang Haojin icacheMissStage(0) := !s2_hit 455*d2b20d1aSTang Haojin 456f1fe8698SLemover assert(RegNext(!s2_valid || s2_req_paddr(0)(11,0) === s2_req_vaddr(0)(11,0), true.B)) 457f1fe8698SLemover 45858dbdfc2SJay /** status imply that s2 is a secondary miss (no need to resend miss request) */ 4591d8f4dcbSJay val sec_meet_vec = Wire(Vec(2, Bool())) 460b1ded4e8Sguohongyu val s2_fixed_hit_vec = VecInit((0 until 2).map(i => s2_port_hit(i) || s2_prefetch_hit(i) || sec_meet_vec(i))) 4611d8f4dcbSJay val s2_fixed_hit = (s2_valid && s2_fixed_hit_vec(0) && s2_fixed_hit_vec(1) && s2_double_line) || (s2_valid && s2_fixed_hit_vec(0) && !s2_double_line) 4621d8f4dcbSJay 463005e809bSJiuyang Liu val s2_meta_errors = RegEnable(s1_meta_errors, s1_fire) 464005e809bSJiuyang Liu val s2_data_errorBits = RegEnable(s1_data_errorBits, s1_fire) 465005e809bSJiuyang Liu val s2_data_cacheline = RegEnable(s1_data_cacheline, s1_fire) 46679b191f7SJay 46779b191f7SJay val s2_data_errors = Wire(Vec(PortNumber,Vec(nWays, Bool()))) 46879b191f7SJay 46979b191f7SJay (0 until PortNumber).map{ i => 47079b191f7SJay val read_datas = s2_data_cacheline(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeUnit.W)))) 47179b191f7SJay val read_codes = s2_data_errorBits(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeBits.W)))) 47279b191f7SJay val data_full_wayBits = VecInit((0 until nWays).map( w => 47379b191f7SJay VecInit((0 until dataCodeUnitNum).map(u => 47479b191f7SJay Cat(read_codes(w)(u), read_datas(w)(u)))))) 47579b191f7SJay val data_error_wayBits = VecInit((0 until nWays).map( w => 47679b191f7SJay VecInit((0 until dataCodeUnitNum).map(u => 47779b191f7SJay cacheParams.dataCode.decode(data_full_wayBits(w)(u)).error )))) 47879b191f7SJay if(i == 0){ 47979b191f7SJay (0 until nWays).map{ w => 48079b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(data_error_wayBits(w)).reduce(_||_) 48179b191f7SJay } 48279b191f7SJay } else { 48379b191f7SJay (0 until nWays).map{ w => 48479b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(RegNext(s1_double_line)) && RegNext(data_error_wayBits(w)).reduce(_||_) 48579b191f7SJay } 48679b191f7SJay } 48779b191f7SJay } 48879b191f7SJay 48979b191f7SJay val s2_parity_meta_error = VecInit((0 until PortNumber).map(i => s2_meta_errors(i).reduce(_||_) && io.csr_parity_enable)) 49079b191f7SJay val s2_parity_data_error = VecInit((0 until PortNumber).map(i => s2_data_errors(i).reduce(_||_) && io.csr_parity_enable)) 49179b191f7SJay val s2_parity_error = VecInit((0 until PortNumber).map(i => RegNext(s2_parity_meta_error(i)) || s2_parity_data_error(i))) 49279b191f7SJay 49379b191f7SJay for(i <- 0 until PortNumber){ 494e8e4462cSJay io.errors(i).valid := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 495e8e4462cSJay io.errors(i).report_to_beu := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 49679b191f7SJay io.errors(i).paddr := RegNext(RegNext(s2_req_paddr(i))) 49779b191f7SJay io.errors(i).source := DontCare 49879b191f7SJay io.errors(i).source.tag := RegNext(RegNext(s2_parity_meta_error(i))) 49979b191f7SJay io.errors(i).source.data := RegNext(s2_parity_data_error(i)) 50079b191f7SJay io.errors(i).source.l2 := false.B 50179b191f7SJay io.errors(i).opType := DontCare 50279b191f7SJay io.errors(i).opType.fetch := true.B 50379b191f7SJay } 504e8e4462cSJay XSError(s2_parity_error.reduce(_||_) && RegNext(RegNext(s1_fire)), "ICache has parity error in MainPaipe!") 50579b191f7SJay 50679b191f7SJay 5072a25dbb4SJay /** exception and pmp logic **/ 5082a3050c2SJay //PMP Result 509f1fe8698SLemover val s2_tlb_need_back = VecInit((0 until PortNumber).map(i => ValidHold(tlb_need_back(i) && s1_fire, s2_fire, false.B))) 5102a3050c2SJay val pmpExcpAF = Wire(Vec(PortNumber, Bool())) 511f1fe8698SLemover pmpExcpAF(0) := fromPMP(0).instr && s2_tlb_need_back(0) 512f1fe8698SLemover pmpExcpAF(1) := fromPMP(1).instr && s2_double_line && s2_tlb_need_back(1) 5131d8f4dcbSJay //exception information 514227f2b93SJenius //short delay exception signal 515227f2b93SJenius val s2_except_pf = RegEnable(tlbExcpPF, s1_fire) 516227f2b93SJenius val s2_except_tlb_af = RegEnable(tlbExcpAF, s1_fire) 517227f2b93SJenius //long delay exception signal 518227f2b93SJenius val s2_except_pmp_af = DataHoldBypass(pmpExcpAF, RegNext(s1_fire)) 519227f2b93SJenius // val s2_except_parity_af = VecInit(s2_parity_error(i) && RegNext(RegNext(s1_fire)) ) 520227f2b93SJenius 521227f2b93SJenius val s2_except = VecInit((0 until 2).map{i => s2_except_pf(i) || s2_except_tlb_af(i)}) 522227f2b93SJenius val s2_has_except = s2_valid && (s2_except_tlb_af.reduce(_||_) || s2_except_pf.reduce(_||_)) 5231d8f4dcbSJay //MMIO 524227f2b93SJenius val s2_mmio = DataHoldBypass(io.pmp(0).resp.mmio && !s2_except_tlb_af(0) && !s2_except_pmp_af(0) && !s2_except_pf(0), RegNext(s1_fire)).asBool() && s2_valid 5251d8f4dcbSJay 52658dbdfc2SJay //send physical address to PMP 5271d8f4dcbSJay io.pmp.zipWithIndex.map { case (p, i) => 528de7689fcSJay p.req.valid := s2_valid && !missSwitchBit 5291d8f4dcbSJay p.req.bits.addr := s2_req_paddr(i) 5301d8f4dcbSJay p.req.bits.size := 3.U // TODO 5311d8f4dcbSJay p.req.bits.cmd := TlbCmd.exec 5321d8f4dcbSJay } 5331d8f4dcbSJay 5341d8f4dcbSJay /*** cacheline miss logic ***/ 535227f2b93SJenius val wait_idle :: wait_queue_ready :: wait_send_req :: wait_two_resp :: wait_0_resp :: wait_1_resp :: wait_one_resp ::wait_finish :: wait_pmp_except :: Nil = Enum(9) 5361d8f4dcbSJay val wait_state = RegInit(wait_idle) 5371d8f4dcbSJay 538b1ded4e8Sguohongyu// val port_miss_fix = VecInit(Seq(fromMSHR(0).fire() && !s2_port_hit(0), fromMSHR(1).fire() && s2_double_line && !s2_port_hit(1) )) 5391d8f4dcbSJay 54058dbdfc2SJay // secondary miss record registers 5412a3050c2SJay class MissSlot(implicit p: Parameters) extends ICacheBundle { 5421d8f4dcbSJay val m_vSetIdx = UInt(idxBits.W) 5431d8f4dcbSJay val m_pTag = UInt(tagBits.W) 5441d8f4dcbSJay val m_data = UInt(blockBits.W) 54558dbdfc2SJay val m_corrupt = Bool() 5461d8f4dcbSJay } 5471d8f4dcbSJay 5481d8f4dcbSJay val missSlot = Seq.fill(2)(RegInit(0.U.asTypeOf(new MissSlot))) 5491d8f4dcbSJay val m_invalid :: m_valid :: m_refilled :: m_flushed :: m_wait_sec_miss :: m_check_final ::Nil = Enum(6) 5501d8f4dcbSJay val missStateQueue = RegInit(VecInit(Seq.fill(2)(m_invalid)) ) 5511d8f4dcbSJay val reservedRefillData = Wire(Vec(2, UInt(blockBits.W))) 5521d8f4dcbSJay 5531d8f4dcbSJay s2_miss_available := VecInit(missStateQueue.map(entry => entry === m_invalid || entry === m_wait_sec_miss)).reduce(_&&_) 5541d8f4dcbSJay 5551d8f4dcbSJay val fix_sec_miss = Wire(Vec(4, Bool())) 5561d8f4dcbSJay val sec_meet_0_miss = fix_sec_miss(0) || fix_sec_miss(2) 5571d8f4dcbSJay val sec_meet_1_miss = fix_sec_miss(1) || fix_sec_miss(3) 5581d8f4dcbSJay sec_meet_vec := VecInit(Seq(sec_meet_0_miss,sec_meet_1_miss )) 5591d8f4dcbSJay 5602a3050c2SJay /*** miss/hit pattern: <Control Signal> only raise at the first cycle of s2_valid ***/ 561b1ded4e8Sguohongyu val cacheline_0_hit = (s2_port_hit(0) || s2_prefetch_hit(0) || sec_meet_0_miss) 562b1ded4e8Sguohongyu val cacheline_0_miss = !s2_port_hit(0) && !s2_prefetch_hit(0) && !sec_meet_0_miss 5631d8f4dcbSJay 564b1ded4e8Sguohongyu val cacheline_1_hit = (s2_port_hit(1) || s2_prefetch_hit(1) || sec_meet_1_miss) 565b1ded4e8Sguohongyu val cacheline_1_miss = !s2_port_hit(1) && !s2_prefetch_hit(1) && !sec_meet_1_miss 56642b952e2SJay 56742b952e2SJay val only_0_miss = RegNext(s1_fire) && cacheline_0_miss && !s2_double_line && !s2_has_except && !s2_mmio 56842b952e2SJay val only_0_hit = RegNext(s1_fire) && cacheline_0_hit && !s2_double_line && !s2_mmio 56942b952e2SJay val hit_0_hit_1 = RegNext(s1_fire) && cacheline_0_hit && cacheline_1_hit && s2_double_line && !s2_mmio 57042b952e2SJay val hit_0_miss_1 = RegNext(s1_fire) && cacheline_0_hit && cacheline_1_miss && s2_double_line && !s2_has_except && !s2_mmio 57142b952e2SJay val miss_0_hit_1 = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_hit && s2_double_line && !s2_has_except && !s2_mmio 57242b952e2SJay val miss_0_miss_1 = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_miss && s2_double_line && !s2_has_except && !s2_mmio 57342b952e2SJay 57442b952e2SJay val hit_0_except_1 = RegNext(s1_fire) && s2_double_line && !s2_except(0) && s2_except(1) && cacheline_0_hit 57542b952e2SJay val miss_0_except_1 = RegNext(s1_fire) && s2_double_line && !s2_except(0) && s2_except(1) && cacheline_0_miss 5761d8f4dcbSJay val except_0 = RegNext(s1_fire) && s2_except(0) 5771d8f4dcbSJay 5782a3050c2SJay /*** miss/hit pattern latch: <Control Signal> latch the miss/hit patter if pipeline stop ***/ 5791d8f4dcbSJay val miss_0_hit_1_latch = holdReleaseLatch(valid = miss_0_hit_1, release = s2_fire, flush = false.B) 5801d8f4dcbSJay val miss_0_miss_1_latch = holdReleaseLatch(valid = miss_0_miss_1, release = s2_fire, flush = false.B) 5811d8f4dcbSJay val only_0_miss_latch = holdReleaseLatch(valid = only_0_miss, release = s2_fire, flush = false.B) 5821d8f4dcbSJay val hit_0_miss_1_latch = holdReleaseLatch(valid = hit_0_miss_1, release = s2_fire, flush = false.B) 5831d8f4dcbSJay 5841d8f4dcbSJay val miss_0_except_1_latch = holdReleaseLatch(valid = miss_0_except_1, release = s2_fire, flush = false.B) 5851d8f4dcbSJay val except_0_latch = holdReleaseLatch(valid = except_0, release = s2_fire, flush = false.B) 5861d8f4dcbSJay val hit_0_except_1_latch = holdReleaseLatch(valid = hit_0_except_1, release = s2_fire, flush = false.B) 5871d8f4dcbSJay 5881d8f4dcbSJay val only_0_hit_latch = holdReleaseLatch(valid = only_0_hit, release = s2_fire, flush = false.B) 5891d8f4dcbSJay val hit_0_hit_1_latch = holdReleaseLatch(valid = hit_0_hit_1, release = s2_fire, flush = false.B) 5901d8f4dcbSJay 5911d8f4dcbSJay 5921c746d3aScui fliter /*** secondary miss judgment ***/ 59358dbdfc2SJay 5941d8f4dcbSJay def waitSecondComeIn(missState: UInt): Bool = (missState === m_wait_sec_miss) 5951d8f4dcbSJay 5961d8f4dcbSJay def getMissSituat(slotNum : Int, missNum : Int ) :Bool = { 597227f2b93SJenius RegNext(s1_fire) && 598227f2b93SJenius RegNext(missSlot(slotNum).m_vSetIdx === s1_req_vsetIdx(missNum)) && 599227f2b93SJenius RegNext(missSlot(slotNum).m_pTag === s1_req_ptags(missNum)) && 600b1ded4e8Sguohongyu !s2_port_hit(missNum) && !s2_prefetch_hit(missNum) && 601227f2b93SJenius waitSecondComeIn(missStateQueue(slotNum)) 6021d8f4dcbSJay } 6031d8f4dcbSJay 6041d8f4dcbSJay val miss_0_s2_0 = getMissSituat(slotNum = 0, missNum = 0) 6051d8f4dcbSJay val miss_0_s2_1 = getMissSituat(slotNum = 0, missNum = 1) 6061d8f4dcbSJay val miss_1_s2_0 = getMissSituat(slotNum = 1, missNum = 0) 6071d8f4dcbSJay val miss_1_s2_1 = getMissSituat(slotNum = 1, missNum = 1) 6081d8f4dcbSJay 6091d8f4dcbSJay val miss_0_s2_0_latch = holdReleaseLatch(valid = miss_0_s2_0, release = s2_fire, flush = false.B) 6101d8f4dcbSJay val miss_0_s2_1_latch = holdReleaseLatch(valid = miss_0_s2_1, release = s2_fire, flush = false.B) 6111d8f4dcbSJay val miss_1_s2_0_latch = holdReleaseLatch(valid = miss_1_s2_0, release = s2_fire, flush = false.B) 6121d8f4dcbSJay val miss_1_s2_1_latch = holdReleaseLatch(valid = miss_1_s2_1, release = s2_fire, flush = false.B) 6131d8f4dcbSJay 6141d8f4dcbSJay 6151d8f4dcbSJay val slot_0_solve = fix_sec_miss(0) || fix_sec_miss(1) 6161d8f4dcbSJay val slot_1_solve = fix_sec_miss(2) || fix_sec_miss(3) 6171d8f4dcbSJay val slot_slove = VecInit(Seq(slot_0_solve, slot_1_solve)) 6181d8f4dcbSJay 6191d8f4dcbSJay fix_sec_miss := VecInit(Seq(miss_0_s2_0_latch, miss_0_s2_1_latch, miss_1_s2_0_latch, miss_1_s2_1_latch)) 6201d8f4dcbSJay 62158dbdfc2SJay /*** reserved data for secondary miss ***/ 62258dbdfc2SJay 6231d8f4dcbSJay reservedRefillData(0) := DataHoldBypass(data = missSlot(0).m_data, valid = miss_0_s2_0 || miss_0_s2_1) 6241d8f4dcbSJay reservedRefillData(1) := DataHoldBypass(data = missSlot(1).m_data, valid = miss_1_s2_0 || miss_1_s2_1) 6251d8f4dcbSJay 62658dbdfc2SJay /*** miss state machine ***/ 627a61aefd2SJenius 628a61aefd2SJenius //deal with not-cache-hit pmp af 629a61aefd2SJenius val only_pmp_af = Wire(Vec(2, Bool())) 630a61aefd2SJenius only_pmp_af(0) := s2_except_pmp_af(0) && cacheline_0_miss && !s2_except(0) && s2_valid 631a61aefd2SJenius only_pmp_af(1) := s2_except_pmp_af(1) && cacheline_1_miss && !s2_except(1) && s2_valid && s2_double_line 63258dbdfc2SJay 6331d8f4dcbSJay switch(wait_state){ 6341d8f4dcbSJay is(wait_idle){ 6354a9944cbSJenius when(only_pmp_af(0) || only_pmp_af(1) || s2_mmio){ 636227f2b93SJenius //should not send req to MissUnit when there is an access exception in PMP 637227f2b93SJenius //But to avoid using pmp exception in control signal (like s2_fire), should delay 1 cycle. 638227f2b93SJenius //NOTE: pmp exception cache line also could hit in ICache, but the result is meaningless. Just give the exception signals. 639227f2b93SJenius wait_state := wait_finish 640227f2b93SJenius }.elsewhen(miss_0_except_1_latch){ 6411d8f4dcbSJay wait_state := Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle ) 6421d8f4dcbSJay }.elsewhen( only_0_miss_latch || miss_0_hit_1_latch){ 6431d8f4dcbSJay wait_state := Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle ) 6441d8f4dcbSJay }.elsewhen(hit_0_miss_1_latch){ 6451d8f4dcbSJay wait_state := Mux(toMSHR(1).ready, wait_queue_ready ,wait_idle ) 6461d8f4dcbSJay }.elsewhen( miss_0_miss_1_latch ){ 6471d8f4dcbSJay wait_state := Mux(toMSHR(0).ready && toMSHR(1).ready, wait_queue_ready ,wait_idle) 6481d8f4dcbSJay } 6491d8f4dcbSJay } 6501d8f4dcbSJay 6511d8f4dcbSJay is(wait_queue_ready){ 6521d8f4dcbSJay wait_state := wait_send_req 6531d8f4dcbSJay } 6541d8f4dcbSJay 6551d8f4dcbSJay is(wait_send_req) { 6561d8f4dcbSJay when(miss_0_except_1_latch || only_0_miss_latch || hit_0_miss_1_latch || miss_0_hit_1_latch){ 6571d8f4dcbSJay wait_state := wait_one_resp 6581d8f4dcbSJay }.elsewhen( miss_0_miss_1_latch ){ 6591d8f4dcbSJay wait_state := wait_two_resp 6601d8f4dcbSJay } 6611d8f4dcbSJay } 6621d8f4dcbSJay 6631d8f4dcbSJay is(wait_one_resp) { 6641d8f4dcbSJay when( (miss_0_except_1_latch ||only_0_miss_latch || miss_0_hit_1_latch) && fromMSHR(0).fire()){ 6651d8f4dcbSJay wait_state := wait_finish 6661d8f4dcbSJay }.elsewhen( hit_0_miss_1_latch && fromMSHR(1).fire()){ 6671d8f4dcbSJay wait_state := wait_finish 6681d8f4dcbSJay } 6691d8f4dcbSJay } 6701d8f4dcbSJay 6711d8f4dcbSJay is(wait_two_resp) { 6721d8f4dcbSJay when(fromMSHR(0).fire() && fromMSHR(1).fire()){ 6731d8f4dcbSJay wait_state := wait_finish 6741d8f4dcbSJay }.elsewhen( !fromMSHR(0).fire() && fromMSHR(1).fire() ){ 6751d8f4dcbSJay wait_state := wait_0_resp 6761d8f4dcbSJay }.elsewhen(fromMSHR(0).fire() && !fromMSHR(1).fire()){ 6771d8f4dcbSJay wait_state := wait_1_resp 6781d8f4dcbSJay } 6791d8f4dcbSJay } 6801d8f4dcbSJay 6811d8f4dcbSJay is(wait_0_resp) { 6821d8f4dcbSJay when(fromMSHR(0).fire()){ 6831d8f4dcbSJay wait_state := wait_finish 6841d8f4dcbSJay } 6851d8f4dcbSJay } 6861d8f4dcbSJay 6871d8f4dcbSJay is(wait_1_resp) { 6881d8f4dcbSJay when(fromMSHR(1).fire()){ 6891d8f4dcbSJay wait_state := wait_finish 6901d8f4dcbSJay } 6911d8f4dcbSJay } 6921d8f4dcbSJay 6932a25dbb4SJay is(wait_finish) {when(s2_fire) {wait_state := wait_idle } 6941d8f4dcbSJay } 6951d8f4dcbSJay } 6961d8f4dcbSJay 6971d8f4dcbSJay 69858dbdfc2SJay /*** send request to MissUnit ***/ 69958dbdfc2SJay 7001d8f4dcbSJay (0 until 2).map { i => 7011d8f4dcbSJay if(i == 1) toMSHR(i).valid := (hit_0_miss_1_latch || miss_0_miss_1_latch) && wait_state === wait_queue_ready && !s2_mmio 7021d8f4dcbSJay else toMSHR(i).valid := (only_0_miss_latch || miss_0_hit_1_latch || miss_0_miss_1_latch || miss_0_except_1_latch) && wait_state === wait_queue_ready && !s2_mmio 7031d8f4dcbSJay toMSHR(i).bits.paddr := s2_req_paddr(i) 7041d8f4dcbSJay toMSHR(i).bits.vaddr := s2_req_vaddr(i) 7051d8f4dcbSJay toMSHR(i).bits.waymask := s2_waymask(i) 7061d8f4dcbSJay 7071d8f4dcbSJay 7081d8f4dcbSJay when(toMSHR(i).fire() && missStateQueue(i) === m_invalid){ 7091d8f4dcbSJay missStateQueue(i) := m_valid 7101d8f4dcbSJay missSlot(i).m_vSetIdx := s2_req_vsetIdx(i) 7111d8f4dcbSJay missSlot(i).m_pTag := get_phy_tag(s2_req_paddr(i)) 7121d8f4dcbSJay } 7131d8f4dcbSJay 7141d8f4dcbSJay when(fromMSHR(i).fire() && missStateQueue(i) === m_valid ){ 7151d8f4dcbSJay missStateQueue(i) := m_refilled 7161d8f4dcbSJay missSlot(i).m_data := fromMSHR(i).bits.data 71758dbdfc2SJay missSlot(i).m_corrupt := fromMSHR(i).bits.corrupt 7181d8f4dcbSJay } 7191d8f4dcbSJay 7201d8f4dcbSJay 7211d8f4dcbSJay when(s2_fire && missStateQueue(i) === m_refilled){ 7221d8f4dcbSJay missStateQueue(i) := m_wait_sec_miss 7231d8f4dcbSJay } 7241d8f4dcbSJay 7252a3050c2SJay /*** Only the first cycle to check whether meet the secondary miss ***/ 7261d8f4dcbSJay when(missStateQueue(i) === m_wait_sec_miss){ 7272a3050c2SJay /*** The seondary req has been fix by this slot and another also hit || the secondary req for other cacheline and hit ***/ 7281d8f4dcbSJay when((slot_slove(i) && s2_fire) || (!slot_slove(i) && s2_fire) ) { 7291d8f4dcbSJay missStateQueue(i) := m_invalid 7301d8f4dcbSJay } 7312a3050c2SJay /*** The seondary req has been fix by this slot but another miss/f3 not ready || the seondary req for other cacheline and miss ***/ 7321d8f4dcbSJay .elsewhen((slot_slove(i) && !s2_fire && s2_valid) || (s2_valid && !slot_slove(i) && !s2_fire) ){ 7331d8f4dcbSJay missStateQueue(i) := m_check_final 7341d8f4dcbSJay } 7351d8f4dcbSJay } 7361d8f4dcbSJay 7371d8f4dcbSJay when(missStateQueue(i) === m_check_final && toMSHR(i).fire()){ 7381d8f4dcbSJay missStateQueue(i) := m_valid 7391d8f4dcbSJay missSlot(i).m_vSetIdx := s2_req_vsetIdx(i) 7401d8f4dcbSJay missSlot(i).m_pTag := get_phy_tag(s2_req_paddr(i)) 7411d8f4dcbSJay }.elsewhen(missStateQueue(i) === m_check_final) { 7421d8f4dcbSJay missStateQueue(i) := m_invalid 7431d8f4dcbSJay } 7441d8f4dcbSJay } 7451d8f4dcbSJay 746f1fe8698SLemover io.prefetchEnable := false.B 747f1fe8698SLemover io.prefetchDisable := false.B 7487052722fSJay when(toMSHR.map(_.valid).reduce(_||_)){ 7497052722fSJay missSwitchBit := true.B 750a108d429SJay io.prefetchEnable := true.B 7517052722fSJay }.elsewhen(missSwitchBit && s2_fetch_finish){ 7527052722fSJay missSwitchBit := false.B 753a108d429SJay io.prefetchDisable := true.B 7547052722fSJay } 7557052722fSJay 756974a902cSguohongyu (0 until PortNumber).foreach{ 757974a902cSguohongyu i => 758974a902cSguohongyu missSlotInfo(i).valid := missStateQueue(i) =/= m_invalid 759974a902cSguohongyu missSlotInfo(i).bits.vSetIdx := missSlot(i).m_vSetIdx 760974a902cSguohongyu missSlotInfo(i).bits.ptage := missSlot(i).m_pTag 761974a902cSguohongyu } 762974a902cSguohongyu 763a108d429SJay 764a8fabd82SJenius val miss_all_fix = wait_state === wait_finish 765227f2b93SJenius 766227f2b93SJenius s2_fetch_finish := ((s2_valid && s2_fixed_hit) || miss_all_fix || hit_0_except_1_latch || except_0_latch) 7671d8f4dcbSJay 76858dbdfc2SJay /** update replacement status register: 0 is hit access/ 1 is miss access */ 7691d8f4dcbSJay (touch_ways zip touch_sets).zipWithIndex.map{ case((t_w,t_s), i) => 7705b0cc873Sguohongyu t_s(0) := s2_req_vsetIdx(i)(highestIdxBit, 1) 77161e1db30SJay t_w(0).valid := s2_valid && s2_port_hit(i) 77261e1db30SJay t_w(0).bits := OHToUInt(s2_tag_match_vec(i)) 7731d8f4dcbSJay 7745b0cc873Sguohongyu t_s(1) := s2_req_vsetIdx(i)(highestIdxBit, 1) 7751d8f4dcbSJay t_w(1).valid := s2_valid && !s2_port_hit(i) 7761d8f4dcbSJay t_w(1).bits := OHToUInt(s2_waymask(i)) 7771d8f4dcbSJay } 7781d8f4dcbSJay 7793fbf8eafSJenius //** use hit one-hot select data 7803fbf8eafSJenius val s2_hit_datas = VecInit(s2_data_cacheline.zipWithIndex.map { case(bank, i) => 7813fbf8eafSJenius val port_hit_data = Mux1H(s2_tag_match_vec(i).asUInt, bank) 7823fbf8eafSJenius port_hit_data 7833fbf8eafSJenius }) 7843fbf8eafSJenius 785dc270d3bSJenius val s2_register_datas = Wire(Vec(2, UInt(blockBits.W))) 7861d8f4dcbSJay 787dc270d3bSJenius s2_register_datas.zipWithIndex.map{case(bank,i) => 788dc270d3bSJenius // if(i == 0) bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data))) 789dc270d3bSJenius // else bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data))) 790dc270d3bSJenius if(i == 0) bank := Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data)) 791dc270d3bSJenius else bank := Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data)) 7921d8f4dcbSJay } 7931d8f4dcbSJay 79458dbdfc2SJay /** response to IFU */ 7951d8f4dcbSJay 7961d8f4dcbSJay (0 until PortNumber).map{ i => 7971d8f4dcbSJay if(i ==0) toIFU(i).valid := s2_fire 7981d8f4dcbSJay else toIFU(i).valid := s2_fire && s2_double_line 799dc270d3bSJenius //when select is high, use sramData. Otherwise, use registerData. 800dc270d3bSJenius toIFU(i).bits.registerData := s2_register_datas(i) 801b1ded4e8Sguohongyu toIFU(i).bits.sramData := Mux(s2_port_hit(i), s2_hit_datas(i), s2_prefetch_hit_data(i)) 802b1ded4e8Sguohongyu toIFU(i).bits.select := s2_port_hit(i) || s2_prefetch_hit(i) 8031d8f4dcbSJay toIFU(i).bits.paddr := s2_req_paddr(i) 8041d8f4dcbSJay toIFU(i).bits.vaddr := s2_req_vaddr(i) 8051d8f4dcbSJay toIFU(i).bits.tlbExcp.pageFault := s2_except_pf(i) 806227f2b93SJenius toIFU(i).bits.tlbExcp.accessFault := s2_except_tlb_af(i) || missSlot(i).m_corrupt || s2_except_pmp_af(i) 807227f2b93SJenius toIFU(i).bits.tlbExcp.mmio := s2_mmio 8089ef181f4SWilliam Wang 8099ef181f4SWilliam Wang when(RegNext(s2_fire && missSlot(i).m_corrupt)){ 8109ef181f4SWilliam Wang io.errors(i).valid := true.B 8110f59c834SWilliam Wang io.errors(i).report_to_beu := false.B // l2 should have report that to bus error unit, no need to do it again 8120f59c834SWilliam Wang io.errors(i).paddr := RegNext(s2_req_paddr(i)) 8139ef181f4SWilliam Wang io.errors(i).source.tag := false.B 8149ef181f4SWilliam Wang io.errors(i).source.data := false.B 8159ef181f4SWilliam Wang io.errors(i).source.l2 := true.B 8169ef181f4SWilliam Wang } 8171d8f4dcbSJay } 818*d2b20d1aSTang Haojin io.fetch.topdownIcacheMiss := !s2_hit 819*d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := itlbMissStage(0) 820*d2b20d1aSTang Haojin 821b1ded4e8Sguohongyu (0 until 2).map {i => 822d4112e88Sguohongyu XSPerfAccumulate("port_" + i + "_only_hit_in_ipf", !s2_port_hit(i) && s2_prefetch_hit(i) && s2_fire) 823b1ded4e8Sguohongyu } 824b1ded4e8Sguohongyu 825b1ded4e8Sguohongyu /** s2 mainPipe miss info */ 826b1ded4e8Sguohongyu mainPipeMissInfo.s2_miss_info(0).valid := s2_valid && (miss_0_hit_1_latch || miss_0_miss_1_latch || only_0_miss_latch || miss_0_except_1_latch) && !except_0_latch 827b1ded4e8Sguohongyu mainPipeMissInfo.s2_miss_info(1).valid := s2_valid && (miss_0_miss_1_latch || hit_0_miss_1_latch) 828b1ded4e8Sguohongyu (0 until 2).foreach { i => 829b1ded4e8Sguohongyu mainPipeMissInfo.s2_miss_info(i).bits.vSetIdx := s2_req_vsetIdx(i) 830b1ded4e8Sguohongyu mainPipeMissInfo.s2_miss_info(i).bits.ptage := s2_req_ptags(i) 831b1ded4e8Sguohongyu } 8321d8f4dcbSJay 833a108d429SJay io.perfInfo.only_0_hit := only_0_hit_latch 8341d8f4dcbSJay io.perfInfo.only_0_miss := only_0_miss_latch 8351d8f4dcbSJay io.perfInfo.hit_0_hit_1 := hit_0_hit_1_latch 8361d8f4dcbSJay io.perfInfo.hit_0_miss_1 := hit_0_miss_1_latch 8371d8f4dcbSJay io.perfInfo.miss_0_hit_1 := miss_0_hit_1_latch 8381d8f4dcbSJay io.perfInfo.miss_0_miss_1 := miss_0_miss_1_latch 839a108d429SJay io.perfInfo.hit_0_except_1 := hit_0_except_1_latch 840a108d429SJay io.perfInfo.miss_0_except_1 := miss_0_except_1_latch 841a108d429SJay io.perfInfo.except_0 := except_0_latch 8421d8f4dcbSJay io.perfInfo.bank_hit(0) := only_0_miss_latch || hit_0_hit_1_latch || hit_0_miss_1_latch || hit_0_except_1_latch 8431d8f4dcbSJay io.perfInfo.bank_hit(1) := miss_0_hit_1_latch || hit_0_hit_1_latch 844a108d429SJay io.perfInfo.hit := hit_0_hit_1_latch || only_0_hit_latch || hit_0_except_1_latch || except_0_latch 84558dbdfc2SJay 84658dbdfc2SJay /** <PERF> fetch bubble generated by icache miss*/ 84758dbdfc2SJay 84800240ba6SJay XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish ) 84958dbdfc2SJay 850eb163ef0SHaojin Tang val tlb_miss_vec = VecInit((0 until PortNumber).map(i => toITLB(i).valid && s0_can_go && fromITLB(i).bits.miss)) 851eb163ef0SHaojin Tang val tlb_has_miss = tlb_miss_vec.reduce(_ || _) 852eb163ef0SHaojin Tang XSPerfAccumulate("icache_bubble_s0_tlb_miss", s0_valid && tlb_has_miss ) 8535470b21eSguohongyu 854afa866b1Sguohongyu if (env.EnableDifftest) { 855afa866b1Sguohongyu val discards = (0 until PortNumber).map { i => 856afa866b1Sguohongyu val discard = toIFU(i).bits.tlbExcp.pageFault || toIFU(i).bits.tlbExcp.accessFault || toIFU(i).bits.tlbExcp.mmio 857afa866b1Sguohongyu discard 858afa866b1Sguohongyu } 859afa866b1Sguohongyu (0 until PortNumber).map { i => 860afa866b1Sguohongyu val diffMainPipeOut = Module(new DifftestRefillEvent) 861afa866b1Sguohongyu diffMainPipeOut.io.clock := clock 862c2ba7c80Sguohongyu diffMainPipeOut.io.coreid := io.hartId 863afa866b1Sguohongyu diffMainPipeOut.io.cacheid := (4 + i).U 864afa866b1Sguohongyu if (i == 0) diffMainPipeOut.io.valid := s2_fire && !discards(0) 865afa866b1Sguohongyu else diffMainPipeOut.io.valid := s2_fire && s2_double_line && !discards(0) && !discards(1) 866afa866b1Sguohongyu diffMainPipeOut.io.addr := s2_req_paddr(i) 867afa866b1Sguohongyu when (toIFU(i).bits.select.asBool) { 868afa866b1Sguohongyu diffMainPipeOut.io.data := toIFU(i).bits.sramData.asTypeOf(diffMainPipeOut.io.data) 869afa866b1Sguohongyu } .otherwise { 870afa866b1Sguohongyu diffMainPipeOut.io.data := toIFU(i).bits.registerData.asTypeOf(diffMainPipeOut.io.data) 871afa866b1Sguohongyu } 872afa866b1Sguohongyu // idtfr: 1 -> data from icache 2 -> data from ipf 3 -> data from piq 4 -> data from missUnit 873afa866b1Sguohongyu when (s2_port_hit(i)) { diffMainPipeOut.io.idtfr := 1.U } 874afa866b1Sguohongyu .elsewhen(s2_prefetch_hit(i)) { 875afa866b1Sguohongyu when (s2_prefetch_hit_in_ipf(i)) { diffMainPipeOut.io.idtfr := 2.U } 876afa866b1Sguohongyu .elsewhen(s2_prefetch_hit_in_piq(i)) { diffMainPipeOut.io.idtfr := 3.U } 8775727817bSguohongyu .otherwise { XSWarn(true.B, "should not in this situation\n")} 878afa866b1Sguohongyu } 879afa866b1Sguohongyu .otherwise { diffMainPipeOut.io.idtfr := 4.U } 880afa866b1Sguohongyu diffMainPipeOut 881afa866b1Sguohongyu } 882afa866b1Sguohongyu } 8831d8f4dcbSJay} 884