11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chisel3._ 201d8f4dcbSJayimport chisel3.util._ 217d45a146SYinan Xuimport difftest._ 221d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates 23*cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters 243c02ee8fSwakafaimport utility._ 25*cf7d6b7aSMuziimport utils._ 26*cf7d6b7aSMuziimport xiangshan._ 27*cf7d6b7aSMuziimport xiangshan.backend.fu.PMPReqBundle 28*cf7d6b7aSMuziimport xiangshan.backend.fu.PMPRespBundle 29*cf7d6b7aSMuziimport xiangshan.cache.mmu._ 30*cf7d6b7aSMuziimport xiangshan.frontend.ExceptionType 31*cf7d6b7aSMuziimport xiangshan.frontend.FtqICacheInfo 32*cf7d6b7aSMuziimport xiangshan.frontend.FtqToICacheRequestBundle 331d8f4dcbSJay 34*cf7d6b7aSMuziclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle { 351d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 36b92f8445Sssszwic def vSetIdx = get_idx(vaddr) 371d8f4dcbSJay} 381d8f4dcbSJay 39*cf7d6b7aSMuziclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle { 401d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 41*cf7d6b7aSMuzi val data = UInt(blockBits.W) 421d8f4dcbSJay val paddr = UInt(PAddrBits.W) 43d0de7e4aSpeixiaokun val gpaddr = UInt(GPAddrBits.W) 44ad415ae0SXiaokun-Pei val isForVSnonLeafPTE = Bool() 4588895b11Sxu_zh val exception = UInt(ExceptionType.width.W) 46002c10a4SYanqin Li val pmp_mmio = Bool() 47002c10a4SYanqin Li val itlb_pbmt = UInt(Pbmt.width.W) 48c1b28b66STang Haojin val exceptionFromBackend = Bool() 491d8f4dcbSJay} 501d8f4dcbSJay 51*cf7d6b7aSMuziclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle { 52c5c5edaeSJenius val req = Flipped(Decoupled(new FtqToICacheRequestBundle)) 53c5c5edaeSJenius val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp)) 54d2b20d1aSTang Haojin val topdownIcacheMiss = Output(Bool()) 55d2b20d1aSTang Haojin val topdownItlbMiss = Output(Bool()) 561d8f4dcbSJay} 571d8f4dcbSJay 581d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle { 59afed18b5SJenius val toIMeta = DecoupledIO(new ICacheReadBundle) 601d8f4dcbSJay val fromIMeta = Input(new ICacheMetaRespBundle) 611d8f4dcbSJay} 621d8f4dcbSJay 631d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle { 64b92f8445Sssszwic val toIData = Vec(partWayNum, DecoupledIO(new ICacheReadBundle)) 651d8f4dcbSJay val fromIData = Input(new ICacheDataRespBundle) 661d8f4dcbSJay} 671d8f4dcbSJay 681d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle { 69b92f8445Sssszwic val req = Decoupled(new ICacheMissReq) 70b92f8445Sssszwic val resp = Flipped(ValidIO(new ICacheMissResp)) 711d8f4dcbSJay} 721d8f4dcbSJay 731d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle { 741d8f4dcbSJay val req = Valid(new PMPReqBundle()) 751d8f4dcbSJay val resp = Input(new PMPRespBundle()) 761d8f4dcbSJay} 771d8f4dcbSJay 781d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle { 791d8f4dcbSJay val only_0_hit = Bool() 801d8f4dcbSJay val only_0_miss = Bool() 811d8f4dcbSJay val hit_0_hit_1 = Bool() 821d8f4dcbSJay val hit_0_miss_1 = Bool() 831d8f4dcbSJay val miss_0_hit_1 = Bool() 841d8f4dcbSJay val miss_0_miss_1 = Bool() 85a108d429SJay val hit_0_except_1 = Bool() 86a108d429SJay val miss_0_except_1 = Bool() 87a108d429SJay val except_0 = Bool() 881d8f4dcbSJay val bank_hit = Vec(2, Bool()) 891d8f4dcbSJay val hit = Bool() 901d8f4dcbSJay} 911d8f4dcbSJay 921d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle { 93f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 94*cf7d6b7aSMuzi 952a3050c2SJay /*** internal interface ***/ 961d8f4dcbSJay val dataArray = new ICacheDataReqBundle 97*cf7d6b7aSMuzi 98b1ded4e8Sguohongyu /** prefetch io */ 99b92f8445Sssszwic val touch = Vec(PortNumber, ValidIO(new ReplacerTouch)) 100b92f8445Sssszwic val wayLookupRead = Flipped(DecoupledIO(new WayLookupInfo)) 101cb6e5d3cSssszwic 102b92f8445Sssszwic val mshr = new ICacheMSHRBundle 1030184a80eSYanqin Li val errors = Output(Vec(PortNumber, ValidIO(new L1CacheErrorInfo))) 104*cf7d6b7aSMuzi 1052a3050c2SJay /*** outside interface ***/ 106c5c5edaeSJenius // val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 107c5c5edaeSJenius /* when ftq.valid is high in T + 1 cycle 108c5c5edaeSJenius * the ftq component must be valid in T cycle 109c5c5edaeSJenius */ 110c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 1111d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 1121d8f4dcbSJay val respStall = Input(Bool()) 11358dbdfc2SJay 114ecccf78fSJay val csr_parity_enable = Input(Bool()) 115b92f8445Sssszwic val flush = Input(Bool()) 116b92f8445Sssszwic 117b92f8445Sssszwic val perfInfo = Output(new ICachePerfInfo) 1181d8f4dcbSJay} 1191d8f4dcbSJay 120f9c51548Sssszwicclass ICacheDB(implicit p: Parameters) extends ICacheBundle { 121f9c51548Sssszwic val blk_vaddr = UInt((VAddrBits - blockOffBits).W) 122f9c51548Sssszwic val blk_paddr = UInt((PAddrBits - blockOffBits).W) 123f9c51548Sssszwic val hit = Bool() 124f9c51548Sssszwic} 125f9c51548Sssszwic 126*cf7d6b7aSMuziclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule { 1271d8f4dcbSJay val io = IO(new ICacheMainPipeInterface) 1281d8f4dcbSJay 12958dbdfc2SJay /** Input/Output port */ 130c5c5edaeSJenius val (fromFtq, toIFU) = (io.fetch.req, io.fetch.resp) 131b92f8445Sssszwic val (toData, fromData) = (io.dataArray.toIData, io.dataArray.fromIData) 132b92f8445Sssszwic val (toMSHR, fromMSHR) = (io.mshr.req, io.mshr.resp) 1331d8f4dcbSJay val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 134b92f8445Sssszwic val fromWayLookup = io.wayLookupRead 13558c354d0Sssszwic 13658c354d0Sssszwic // Statistics on the frequency distribution of FTQ fire interval 13758c354d0Sssszwic val cntFtqFireInterval = RegInit(0.U(32.W)) 13858c354d0Sssszwic cntFtqFireInterval := Mux(fromFtq.fire, 1.U, cntFtqFireInterval + 1.U) 139*cf7d6b7aSMuzi XSPerfHistogram("ftq2icache_fire", cntFtqFireInterval, fromFtq.fire, 1, 300, 1, right_strict = true) 140b1ded4e8Sguohongyu 14158dbdfc2SJay /** pipeline control signal */ 142f1fe8698SLemover val s1_ready, s2_ready = Wire(Bool()) 143f1fe8698SLemover val s0_fire, s1_fire, s2_fire = Wire(Bool()) 144b92f8445Sssszwic val s0_flush, s1_flush, s2_flush = Wire(Bool()) 1451d8f4dcbSJay 1462a3050c2SJay /** 1472a3050c2SJay ****************************************************************************** 14858dbdfc2SJay * ICache Stage 0 149b92f8445Sssszwic * - send req to data SRAM 150b92f8445Sssszwic * - get waymask and tlb info from wayLookup 1512a3050c2SJay ****************************************************************************** 1522a3050c2SJay */ 1532a3050c2SJay 15458dbdfc2SJay /** s0 control */ 155b92f8445Sssszwic // 0,1,2,3 -> dataArray(data); 4 -> mainPipe 156b92f8445Sssszwic // Ftq RegNext Register 157b92f8445Sssszwic val fromFtqReq = fromFtq.bits.pcMemRead 158c5c5edaeSJenius val s0_valid = fromFtq.valid 159b92f8445Sssszwic val s0_req_valid_all = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i)) 160*cf7d6b7aSMuzi val s0_req_vaddr_all = 161*cf7d6b7aSMuzi (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart))) 16288895b11Sxu_zh val s0_req_vSetIdx_all = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr_all(i).map(get_idx))) 163b92f8445Sssszwic val s0_req_offset_all = (0 until partWayNum + 1).map(i => s0_req_vaddr_all(i)(0)(log2Ceil(blockBytes) - 1, 0)) 164b92f8445Sssszwic val s0_doubleline_all = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline) 1651d8f4dcbSJay 166b92f8445Sssszwic val s0_req_vaddr = s0_req_vaddr_all.last 167b92f8445Sssszwic val s0_req_vSetIdx = s0_req_vSetIdx_all.last 168b92f8445Sssszwic val s0_doubleline = s0_doubleline_all.last 16961e1db30SJay 170c1b28b66STang Haojin val s0_ftq_exception = VecInit((0 until PortNumber).map(i => ExceptionType.fromFtq(fromFtq.bits))) 171c1b28b66STang Haojin val s0_excp_fromBackend = fromFtq.bits.backendIaf || fromFtq.bits.backendIpf || fromFtq.bits.backendIgpf 172c1b28b66STang Haojin 173b92f8445Sssszwic /** 174b92f8445Sssszwic ****************************************************************************** 175b92f8445Sssszwic * get waymask and tlb info from wayLookup 176b92f8445Sssszwic ****************************************************************************** 177b92f8445Sssszwic */ 178b92f8445Sssszwic fromWayLookup.ready := s0_fire 179b92f8445Sssszwic val s0_waymasks = VecInit(fromWayLookup.bits.waymask.map(_.asTypeOf(Vec(nWays, Bool())))) 180b92f8445Sssszwic val s0_req_ptags = fromWayLookup.bits.ptag 181b92f8445Sssszwic val s0_req_gpaddr = fromWayLookup.bits.gpaddr 182ad415ae0SXiaokun-Pei val s0_req_isForVSnonLeafPTE = fromWayLookup.bits.isForVSnonLeafPTE 18388895b11Sxu_zh val s0_itlb_exception = fromWayLookup.bits.itlb_exception 184002c10a4SYanqin Li val s0_itlb_pbmt = fromWayLookup.bits.itlb_pbmt 1858966a895Sxu_zh val s0_meta_codes = fromWayLookup.bits.meta_codes 18688895b11Sxu_zh val s0_hits = VecInit(fromWayLookup.bits.waymask.map(_.orR)) 187f56177cbSJenius 188b92f8445Sssszwic when(s0_fire) { 189*cf7d6b7aSMuzi assert( 190*cf7d6b7aSMuzi (0 until PortNumber).map(i => s0_req_vSetIdx(i) === fromWayLookup.bits.vSetIdx(i)).reduce(_ && _), 191b92f8445Sssszwic "vSetIdxs from ftq and wayLookup are different! vaddr0=0x%x ftq: vidx0=0x%x vidx1=0x%x wayLookup: vidx0=0x%x vidx1=0x%x", 192*cf7d6b7aSMuzi s0_req_vaddr(0), 193*cf7d6b7aSMuzi s0_req_vSetIdx(0), 194*cf7d6b7aSMuzi s0_req_vSetIdx(1), 195*cf7d6b7aSMuzi fromWayLookup.bits.vSetIdx(0), 196*cf7d6b7aSMuzi fromWayLookup.bits.vSetIdx(1) 197*cf7d6b7aSMuzi ) 1981d8f4dcbSJay } 199afed18b5SJenius 200c1b28b66STang Haojin val s0_exception_out = ExceptionType.merge( 201c1b28b66STang Haojin s0_ftq_exception, // backend-requested exception has the highest priority 202c1b28b66STang Haojin s0_itlb_exception 203c1b28b66STang Haojin ) 204c1b28b66STang Haojin 205b92f8445Sssszwic /** 206b92f8445Sssszwic ****************************************************************************** 207b92f8445Sssszwic * data SRAM request 208b92f8445Sssszwic ****************************************************************************** 209b92f8445Sssszwic */ 210b92f8445Sssszwic for (i <- 0 until partWayNum) { 211b92f8445Sssszwic toData(i).valid := s0_req_valid_all(i) 212b92f8445Sssszwic toData(i).bits.isDoubleLine := s0_doubleline_all(i) 213b92f8445Sssszwic toData(i).bits.vSetIdx := s0_req_vSetIdx_all(i) 214b92f8445Sssszwic toData(i).bits.blkOffset := s0_req_offset_all(i) 215b92f8445Sssszwic toData(i).bits.wayMask := s0_waymasks 216b92f8445Sssszwic } 217afed18b5SJenius 218b92f8445Sssszwic val s0_can_go = toData.last.ready && fromWayLookup.valid && s1_ready 219b92f8445Sssszwic s0_flush := io.flush 220b92f8445Sssszwic s0_fire := s0_valid && s0_can_go && !s0_flush 2212a3050c2SJay 222c5c5edaeSJenius fromFtq.ready := s0_can_go 223f1fe8698SLemover 2242a3050c2SJay /** 2252a3050c2SJay ****************************************************************************** 22658dbdfc2SJay * ICache Stage 1 227b92f8445Sssszwic * - PMP check 228b92f8445Sssszwic * - get Data SRAM read responses (latched for pipeline stop) 229b92f8445Sssszwic * - monitor missUint response port 2302a3050c2SJay ****************************************************************************** 2312a3050c2SJay */ 232b92f8445Sssszwic val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B) 2331d8f4dcbSJay 234b92f8445Sssszwic val s1_req_vaddr = RegEnable(s0_req_vaddr, 0.U.asTypeOf(s0_req_vaddr), s0_fire) 235b92f8445Sssszwic val s1_req_ptags = RegEnable(s0_req_ptags, 0.U.asTypeOf(s0_req_ptags), s0_fire) 236b92f8445Sssszwic val s1_req_gpaddr = RegEnable(s0_req_gpaddr, 0.U.asTypeOf(s0_req_gpaddr), s0_fire) 237ad415ae0SXiaokun-Pei val s1_req_isForVSnonLeafPTE = RegEnable(s0_req_isForVSnonLeafPTE, 0.U.asTypeOf(s0_req_isForVSnonLeafPTE), s0_fire) 238b92f8445Sssszwic val s1_doubleline = RegEnable(s0_doubleline, 0.U.asTypeOf(s0_doubleline), s0_fire) 239b92f8445Sssszwic val s1_SRAMhits = RegEnable(s0_hits, 0.U.asTypeOf(s0_hits), s0_fire) 240c1b28b66STang Haojin val s1_itlb_exception = RegEnable(s0_exception_out, 0.U.asTypeOf(s0_exception_out), s0_fire) 241c1b28b66STang Haojin val s1_excp_fromBackend = RegEnable(s0_excp_fromBackend, false.B, s0_fire) 242002c10a4SYanqin Li val s1_itlb_pbmt = RegEnable(s0_itlb_pbmt, 0.U.asTypeOf(s0_itlb_pbmt), s0_fire) 243b92f8445Sssszwic val s1_waymasks = RegEnable(s0_waymasks, 0.U.asTypeOf(s0_waymasks), s0_fire) 2448966a895Sxu_zh val s1_meta_codes = RegEnable(s0_meta_codes, 0.U.asTypeOf(s0_meta_codes), s0_fire) 2451d8f4dcbSJay 24688895b11Sxu_zh val s1_req_vSetIdx = s1_req_vaddr.map(get_idx) 247b92f8445Sssszwic val s1_req_paddr = s1_req_vaddr.zip(s1_req_ptags).map { case (vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag) } 248b92f8445Sssszwic val s1_req_offset = s1_req_vaddr(0)(log2Ceil(blockBytes) - 1, 0) 249b1ded4e8Sguohongyu 2508966a895Sxu_zh // do metaArray ECC check 2518966a895Sxu_zh val s1_meta_corrupt = VecInit((s1_req_ptags zip s1_meta_codes zip s1_waymasks).map { case ((meta, code), waymask) => 2528966a895Sxu_zh val hit_num = PopCount(waymask) 2538966a895Sxu_zh // NOTE: if not hit, encodeMetaECC(meta) =/= code can also be true, but we don't care about it 2548966a895Sxu_zh (encodeMetaECC(meta) =/= code && hit_num === 1.U) || // hit one way, but parity code does not match, ECC failure 2558966a895Sxu_zh hit_num > 1.U // hit multi way, must be a ECC failure 2568966a895Sxu_zh }) 2578966a895Sxu_zh 2582a3050c2SJay /** 2592a3050c2SJay ****************************************************************************** 260b92f8445Sssszwic * update replacement status register 2612a3050c2SJay ****************************************************************************** 2622a3050c2SJay */ 263b92f8445Sssszwic (0 until PortNumber).foreach { i => 264b92f8445Sssszwic io.touch(i).bits.vSetIdx := s1_req_vSetIdx(i) 265b92f8445Sssszwic io.touch(i).bits.way := OHToUInt(s1_waymasks(i)) 266b92f8445Sssszwic } 267b92f8445Sssszwic io.touch(0).valid := RegNext(s0_fire) && s1_SRAMhits(0) 268b92f8445Sssszwic io.touch(1).valid := RegNext(s0_fire) && s1_SRAMhits(1) && s1_doubleline 269f1fe8698SLemover 270a61a35e0Sssszwic /** 271a61a35e0Sssszwic ****************************************************************************** 272b92f8445Sssszwic * PMP check 273a61a35e0Sssszwic ****************************************************************************** 274a61a35e0Sssszwic */ 27588895b11Sxu_zh toPMP.zipWithIndex.foreach { case (p, i) => 27688895b11Sxu_zh // if itlb has exception, paddr can be invalid, therefore pmp check can be skipped 27788895b11Sxu_zh p.valid := s1_valid // && s1_itlb_exception === ExceptionType.none 278b92f8445Sssszwic p.bits.addr := s1_req_paddr(i) 279a61a35e0Sssszwic p.bits.size := 3.U // TODO 280a61a35e0Sssszwic p.bits.cmd := TlbCmd.exec 281a61a35e0Sssszwic } 28288895b11Sxu_zh val s1_pmp_exception = VecInit(fromPMP.map(ExceptionType.fromPMPResp)) 283002c10a4SYanqin Li val s1_pmp_mmio = VecInit(fromPMP.map(_.mmio)) 28488895b11Sxu_zh 285f80535c3Sxu_zh // also raise af when meta array corrupt is detected, to cancel fetch 286f80535c3Sxu_zh val s1_meta_exception = VecInit(s1_meta_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _))) 287f80535c3Sxu_zh 288f80535c3Sxu_zh // merge s1 itlb/pmp/meta exceptions, itlb has the highest priority, pmp next, meta lowest 289f80535c3Sxu_zh val s1_exception_out = ExceptionType.merge( 290f80535c3Sxu_zh s1_itlb_exception, 291f80535c3Sxu_zh s1_pmp_exception, 292f80535c3Sxu_zh s1_meta_exception 293f80535c3Sxu_zh ) 2941d8f4dcbSJay 295002c10a4SYanqin Li // DO NOT merge pmp mmio and itlb pbmt here, we need them to be passed to IFU separately 296002c10a4SYanqin Li 297a61a35e0Sssszwic /** 298a61a35e0Sssszwic ****************************************************************************** 299b92f8445Sssszwic * select data from MSHR, SRAM 300a61a35e0Sssszwic ****************************************************************************** 301a61a35e0Sssszwic */ 302*cf7d6b7aSMuzi val s1_MSHR_match = VecInit((0 until PortNumber).map(i => 303*cf7d6b7aSMuzi (s1_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) && 304b92f8445Sssszwic (s1_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) && 305*cf7d6b7aSMuzi fromMSHR.valid && !fromMSHR.bits.corrupt 306*cf7d6b7aSMuzi )) 307*cf7d6b7aSMuzi val s1_MSHR_hits = Seq(s1_valid && s1_MSHR_match(0), s1_valid && (s1_MSHR_match(1) && s1_doubleline)) 308b92f8445Sssszwic val s1_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits / ICacheDataBanks).W))) 30979b191f7SJay 310*cf7d6b7aSMuzi val s1_hits = (0 until PortNumber).map(i => 311*cf7d6b7aSMuzi ValidHoldBypass(s1_MSHR_hits(i) || (RegNext(s0_fire) && s1_SRAMhits(i)), s1_fire || s1_flush) 312*cf7d6b7aSMuzi ) 313a61a35e0Sssszwic 314b92f8445Sssszwic val s1_bankIdxLow = s1_req_offset >> log2Ceil(blockBytes / ICacheDataBanks) 315*cf7d6b7aSMuzi val s1_bankMSHRHit = VecInit((0 until ICacheDataBanks).map(i => 316*cf7d6b7aSMuzi (i.U >= s1_bankIdxLow) && s1_MSHR_hits(0) || 317*cf7d6b7aSMuzi (i.U < s1_bankIdxLow) && s1_MSHR_hits(1) 318*cf7d6b7aSMuzi )) 319*cf7d6b7aSMuzi val s1_datas = VecInit((0 until ICacheDataBanks).map(i => 320*cf7d6b7aSMuzi DataHoldBypass(Mux(s1_bankMSHRHit(i), s1_MSHR_datas(i), fromData.datas(i)), s1_bankMSHRHit(i) || RegNext(s0_fire)) 321*cf7d6b7aSMuzi )) 322b92f8445Sssszwic val s1_codes = DataHoldBypass(fromData.codes, RegNext(s0_fire)) 323a61a35e0Sssszwic 324b92f8445Sssszwic s1_flush := io.flush 325b92f8445Sssszwic s1_ready := s2_ready || !s1_valid 326b92f8445Sssszwic s1_fire := s1_valid && s2_ready && !s1_flush 327a61a35e0Sssszwic 328a61a35e0Sssszwic /** 329a61a35e0Sssszwic ****************************************************************************** 330b92f8445Sssszwic * ICache Stage 2 331b92f8445Sssszwic * - send request to MSHR if ICache miss 332b92f8445Sssszwic * - monitor missUint response port 333b92f8445Sssszwic * - response to IFU 334a61a35e0Sssszwic ****************************************************************************** 335a61a35e0Sssszwic */ 336a61a35e0Sssszwic 337b92f8445Sssszwic val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B) 338a61a35e0Sssszwic 339b92f8445Sssszwic val s2_req_vaddr = RegEnable(s1_req_vaddr, 0.U.asTypeOf(s1_req_vaddr), s1_fire) 340b92f8445Sssszwic val s2_req_ptags = RegEnable(s1_req_ptags, 0.U.asTypeOf(s1_req_ptags), s1_fire) 341b39ba14bSxu_zh val s2_req_gpaddr = RegEnable(s1_req_gpaddr, 0.U.asTypeOf(s1_req_gpaddr), s1_fire) 342ad415ae0SXiaokun-Pei val s2_req_isForVSnonLeafPTE = RegEnable(s1_req_isForVSnonLeafPTE, 0.U.asTypeOf(s1_req_isForVSnonLeafPTE), s1_fire) 343b92f8445Sssszwic val s2_doubleline = RegEnable(s1_doubleline, 0.U.asTypeOf(s1_doubleline), s1_fire) 344*cf7d6b7aSMuzi val s2_exception = 345*cf7d6b7aSMuzi RegEnable(s1_exception_out, 0.U.asTypeOf(s1_exception_out), s1_fire) // includes itlb/pmp/meta exception 346c1b28b66STang Haojin val s2_excp_fromBackend = RegEnable(s1_excp_fromBackend, false.B, s1_fire) 347002c10a4SYanqin Li val s2_pmp_mmio = RegEnable(s1_pmp_mmio, 0.U.asTypeOf(s1_pmp_mmio), s1_fire) 348002c10a4SYanqin Li val s2_itlb_pbmt = RegEnable(s1_itlb_pbmt, 0.U.asTypeOf(s1_itlb_pbmt), s1_fire) 349a61a35e0Sssszwic 35088895b11Sxu_zh val s2_req_vSetIdx = s2_req_vaddr.map(get_idx) 351b92f8445Sssszwic val s2_req_offset = s2_req_vaddr(0)(log2Ceil(blockBytes) - 1, 0) 352b92f8445Sssszwic val s2_req_paddr = s2_req_vaddr.zip(s2_req_ptags).map { case (vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag) } 353a61a35e0Sssszwic 354b92f8445Sssszwic val s2_SRAMhits = RegEnable(s1_SRAMhits, 0.U.asTypeOf(s1_SRAMhits), s1_fire) 355b92f8445Sssszwic val s2_codes = RegEnable(s1_codes, 0.U.asTypeOf(s1_codes), s1_fire) 356b92f8445Sssszwic val s2_hits = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 357b92f8445Sssszwic val s2_datas = RegInit(VecInit(Seq.fill(ICacheDataBanks)(0.U((blockBits / ICacheDataBanks).W)))) 358a61a35e0Sssszwic 359a61a35e0Sssszwic /** 360a61a35e0Sssszwic ****************************************************************************** 361b92f8445Sssszwic * report data parity error 362a61a35e0Sssszwic ****************************************************************************** 363a61a35e0Sssszwic */ 364b92f8445Sssszwic // check data error 365b92f8445Sssszwic val s2_bankSel = getBankSel(s2_req_offset, s2_valid) 366*cf7d6b7aSMuzi val s2_bank_corrupt = (0 until ICacheDataBanks).map(i => encodeDataECC(s2_datas(i)) =/= s2_codes(i)) 367*cf7d6b7aSMuzi val s2_data_corrupt = (0 until PortNumber).map(port => 368*cf7d6b7aSMuzi (0 until ICacheDataBanks).map(bank => 369*cf7d6b7aSMuzi s2_bank_corrupt(bank) && s2_bankSel(port)(bank).asBool 370*cf7d6b7aSMuzi ).reduce(_ || _) && s2_SRAMhits(port) 371*cf7d6b7aSMuzi ) 372b92f8445Sssszwic // meta error is checked in prefetch pipeline 37388895b11Sxu_zh val s2_meta_corrupt = RegEnable(s1_meta_corrupt, 0.U.asTypeOf(s1_meta_corrupt), s1_fire) 374b92f8445Sssszwic // send errors to top 375a61a35e0Sssszwic (0 until PortNumber).map { i => 37688895b11Sxu_zh io.errors(i).valid := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_corrupt(i) || s2_data_corrupt(i)) 377*cf7d6b7aSMuzi io.errors(i).bits.report_to_beu := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_corrupt( 378*cf7d6b7aSMuzi i 379*cf7d6b7aSMuzi ) || s2_data_corrupt(i)) 380b92f8445Sssszwic io.errors(i).bits.paddr := s2_req_paddr(i) 3810184a80eSYanqin Li io.errors(i).bits.source := DontCare 38288895b11Sxu_zh io.errors(i).bits.source.tag := s2_meta_corrupt(i) 38388895b11Sxu_zh io.errors(i).bits.source.data := s2_data_corrupt(i) 3840184a80eSYanqin Li io.errors(i).bits.source.l2 := false.B 3850184a80eSYanqin Li io.errors(i).bits.opType := DontCare 3860184a80eSYanqin Li io.errors(i).bits.opType.fetch := true.B 38779b191f7SJay } 38879b191f7SJay 389b92f8445Sssszwic /** 390b92f8445Sssszwic ****************************************************************************** 391b92f8445Sssszwic * monitor missUint response port 392b92f8445Sssszwic ****************************************************************************** 393b92f8445Sssszwic */ 394fa42eb78Sxu_zh val s2_MSHR_match = VecInit((0 until PortNumber).map(i => 395fa42eb78Sxu_zh (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) && 396b92f8445Sssszwic (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) && 397fa42eb78Sxu_zh fromMSHR.valid // we don't care about whether it's corrupt here 398fa42eb78Sxu_zh )) 399*cf7d6b7aSMuzi val s2_MSHR_hits = Seq(s2_valid && s2_MSHR_match(0), s2_valid && s2_MSHR_match(1) && s2_doubleline) 400b92f8445Sssszwic val s2_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits / ICacheDataBanks).W))) 401b92f8445Sssszwic 402b92f8445Sssszwic val s2_bankIdxLow = s2_req_offset >> log2Ceil(blockBytes / ICacheDataBanks) 403fa42eb78Sxu_zh val s2_bankMSHRHit = VecInit((0 until ICacheDataBanks).map(i => 404fa42eb78Sxu_zh ((i.U >= s2_bankIdxLow) && s2_MSHR_hits(0)) || ((i.U < s2_bankIdxLow) && s2_MSHR_hits(1)) 405fa42eb78Sxu_zh )) 406b92f8445Sssszwic 407b92f8445Sssszwic (0 until ICacheDataBanks).foreach { i => 408b92f8445Sssszwic when(s1_fire) { 409b92f8445Sssszwic s2_datas := s1_datas 410fa42eb78Sxu_zh }.elsewhen(s2_bankMSHRHit(i) && !fromMSHR.bits.corrupt) { 411fa42eb78Sxu_zh // if corrupt, no need to update s2_datas (it's wrong anyway), to save power 412b92f8445Sssszwic s2_datas(i) := s2_MSHR_datas(i) 413b92f8445Sssszwic } 414b92f8445Sssszwic } 415b92f8445Sssszwic 416b92f8445Sssszwic (0 until PortNumber).foreach { i => 417b92f8445Sssszwic when(s1_fire) { 418b92f8445Sssszwic s2_hits := s1_hits 419b92f8445Sssszwic }.elsewhen(s2_MSHR_hits(i)) { 420fa42eb78Sxu_zh // update s2_hits even if it's corrupt, to let s2_fire 421b92f8445Sssszwic s2_hits(i) := true.B 422b92f8445Sssszwic } 423b92f8445Sssszwic } 424b92f8445Sssszwic 42588895b11Sxu_zh val s2_l2_corrupt = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 426b92f8445Sssszwic (0 until PortNumber).foreach { i => 427b92f8445Sssszwic when(s1_fire) { 42888895b11Sxu_zh s2_l2_corrupt(i) := false.B 429b92f8445Sssszwic }.elsewhen(s2_MSHR_hits(i)) { 43088895b11Sxu_zh s2_l2_corrupt(i) := fromMSHR.bits.corrupt 431b92f8445Sssszwic } 432b92f8445Sssszwic } 433b92f8445Sssszwic 434b92f8445Sssszwic /** 435b92f8445Sssszwic ****************************************************************************** 436b92f8445Sssszwic * send request to MSHR if ICache miss 437b92f8445Sssszwic ****************************************************************************** 438b92f8445Sssszwic */ 439002c10a4SYanqin Li 440002c10a4SYanqin Li // merge pmp mmio and itlb pbmt 441002c10a4SYanqin Li val s2_mmio = VecInit((s2_pmp_mmio zip s2_itlb_pbmt).map { case (mmio, pbmt) => 442002c10a4SYanqin Li mmio || Pbmt.isUncache(pbmt) 443002c10a4SYanqin Li }) 444002c10a4SYanqin Li 445f80535c3Sxu_zh /* s2_exception includes itlb pf/gpf/af, pmp af and meta corruption (af), neither of which should be fetched 446f80535c3Sxu_zh * mmio should not be fetched, it will be fetched by IFU mmio fsm 447f80535c3Sxu_zh * also, if previous has exception, latter port should also not be fetched 44888895b11Sxu_zh */ 449b808ac73Sxu_zh val s2_miss = VecInit((0 until PortNumber).map { i => 450b808ac73Sxu_zh !s2_hits(i) && (if (i == 0) true.B else s2_doubleline) && 45188895b11Sxu_zh s2_exception.take(i + 1).map(_ === ExceptionType.none).reduce(_ && _) && 45288895b11Sxu_zh s2_mmio.take(i + 1).map(!_).reduce(_ && _) 453b808ac73Sxu_zh }) 454b92f8445Sssszwic 455b92f8445Sssszwic val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber)) 456b92f8445Sssszwic 457b92f8445Sssszwic // To avoid sending duplicate requests. 458b92f8445Sssszwic val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 459b92f8445Sssszwic (0 until PortNumber).foreach { i => 460b92f8445Sssszwic when(s1_fire) { 461b92f8445Sssszwic has_send(i) := false.B 462b92f8445Sssszwic }.elsewhen(toMSHRArbiter.io.in(i).fire) { 463b92f8445Sssszwic has_send(i) := true.B 464b92f8445Sssszwic } 465b92f8445Sssszwic } 466b92f8445Sssszwic 467b92f8445Sssszwic (0 until PortNumber).map { i => 468b92f8445Sssszwic toMSHRArbiter.io.in(i).valid := s2_valid && s2_miss(i) && !has_send(i) && !s2_flush 469b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.blkPaddr := getBlkAddr(s2_req_paddr(i)) 470b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.vSetIdx := s2_req_vSetIdx(i) 471b92f8445Sssszwic } 472b92f8445Sssszwic toMSHR <> toMSHRArbiter.io.out 473b92f8445Sssszwic 474b92f8445Sssszwic XSPerfAccumulate("to_missUnit_stall", toMSHR.valid && !toMSHR.ready) 475b92f8445Sssszwic 476b92f8445Sssszwic val s2_fetch_finish = !s2_miss.reduce(_ || _) 477f80535c3Sxu_zh 478f80535c3Sxu_zh // also raise af if data/l2 corrupt is detected 479f80535c3Sxu_zh val s2_data_exception = VecInit(s2_data_corrupt.map(ExceptionType.fromECC(io.csr_parity_enable, _))) 480f80535c3Sxu_zh val s2_l2_exception = VecInit(s2_l2_corrupt.map(ExceptionType.fromECC(true.B, _))) 481f80535c3Sxu_zh 482f80535c3Sxu_zh // merge s2 exceptions, itlb has the highest priority, meta next, meta/data/l2 lowest (and we dont care about prioritizing between this three) 48388895b11Sxu_zh val s2_exception_out = ExceptionType.merge( 484f80535c3Sxu_zh s2_exception, // includes itlb/pmp/meta exception 485f80535c3Sxu_zh s2_data_exception, 486f80535c3Sxu_zh s2_l2_exception 48788895b11Sxu_zh ) 488b92f8445Sssszwic 489b92f8445Sssszwic /** 490b92f8445Sssszwic ****************************************************************************** 491b92f8445Sssszwic * response to IFU 492b92f8445Sssszwic ****************************************************************************** 493b92f8445Sssszwic */ 4941a5af821Sxu_zh (0 until PortNumber).foreach { i => 495b92f8445Sssszwic if (i == 0) { 496b92f8445Sssszwic toIFU(i).valid := s2_fire 49788895b11Sxu_zh toIFU(i).bits.exception := s2_exception_out(i) 498002c10a4SYanqin Li toIFU(i).bits.pmp_mmio := s2_pmp_mmio(i) // pass pmp_mmio instead of merged mmio to IFU 499002c10a4SYanqin Li toIFU(i).bits.itlb_pbmt := s2_itlb_pbmt(i) 500b92f8445Sssszwic toIFU(i).bits.data := s2_datas.asTypeOf(UInt(blockBits.W)) 501b92f8445Sssszwic } else { 502b92f8445Sssszwic toIFU(i).valid := s2_fire && s2_doubleline 50388895b11Sxu_zh toIFU(i).bits.exception := Mux(s2_doubleline, s2_exception_out(i), ExceptionType.none) 504002c10a4SYanqin Li toIFU(i).bits.pmp_mmio := s2_pmp_mmio(i) && s2_doubleline 505002c10a4SYanqin Li toIFU(i).bits.itlb_pbmt := Mux(s2_doubleline, s2_itlb_pbmt(i), Pbmt.pma) 506b92f8445Sssszwic toIFU(i).bits.data := DontCare 507b92f8445Sssszwic } 508c1b28b66STang Haojin toIFU(i).bits.exceptionFromBackend := s2_excp_fromBackend 509b92f8445Sssszwic toIFU(i).bits.vaddr := s2_req_vaddr(i) 510b92f8445Sssszwic toIFU(i).bits.paddr := s2_req_paddr(i) 5111a5af821Sxu_zh toIFU(i).bits.gpaddr := s2_req_gpaddr // Note: toIFU(1).bits.gpaddr is actually DontCare in current design 512ad415ae0SXiaokun-Pei toIFU(i).bits.isForVSnonLeafPTE := s2_req_isForVSnonLeafPTE 513b92f8445Sssszwic } 514b92f8445Sssszwic 515b92f8445Sssszwic s2_flush := io.flush 516b92f8445Sssszwic s2_ready := (s2_fetch_finish && !io.respStall) || !s2_valid 517b92f8445Sssszwic s2_fire := s2_valid && s2_fetch_finish && !io.respStall && !s2_flush 518b92f8445Sssszwic 519b92f8445Sssszwic /** 520b92f8445Sssszwic ****************************************************************************** 521b92f8445Sssszwic * report Tilelink corrupt error 522b92f8445Sssszwic ****************************************************************************** 523b92f8445Sssszwic */ 524a61a35e0Sssszwic (0 until PortNumber).map { i => 52588895b11Sxu_zh when(RegNext(s2_fire && s2_l2_corrupt(i))) { 526a61a35e0Sssszwic io.errors(i).valid := true.B 5270184a80eSYanqin Li io.errors(i).bits.report_to_beu := false.B // l2 should have report that to bus error unit, no need to do it again 528b92f8445Sssszwic io.errors(i).bits.paddr := RegNext(s2_req_paddr(i)) 5290184a80eSYanqin Li io.errors(i).bits.source.tag := false.B 5300184a80eSYanqin Li io.errors(i).bits.source.data := false.B 5310184a80eSYanqin Li io.errors(i).bits.source.l2 := true.B 5321d8f4dcbSJay } 5331d8f4dcbSJay } 5341d8f4dcbSJay 535a61a35e0Sssszwic /** 536a61a35e0Sssszwic ****************************************************************************** 537a61a35e0Sssszwic * performance info. TODO: need to simplify the logic 538a61a35e0Sssszwic ***********************************************************s******************* 539a61a35e0Sssszwic */ 540b92f8445Sssszwic io.perfInfo.only_0_hit := s2_hits(0) && !s2_doubleline 541b92f8445Sssszwic io.perfInfo.only_0_miss := !s2_hits(0) && !s2_doubleline 542b92f8445Sssszwic io.perfInfo.hit_0_hit_1 := s2_hits(0) && s2_hits(1) && s2_doubleline 543b92f8445Sssszwic io.perfInfo.hit_0_miss_1 := s2_hits(0) && !s2_hits(1) && s2_doubleline 544b92f8445Sssszwic io.perfInfo.miss_0_hit_1 := !s2_hits(0) && s2_hits(1) && s2_doubleline 545b92f8445Sssszwic io.perfInfo.miss_0_miss_1 := !s2_hits(0) && !s2_hits(1) && s2_doubleline 54688895b11Sxu_zh io.perfInfo.hit_0_except_1 := s2_hits(0) && (s2_exception(1) =/= ExceptionType.none) && s2_doubleline 54788895b11Sxu_zh io.perfInfo.miss_0_except_1 := !s2_hits(0) && (s2_exception(1) =/= ExceptionType.none) && s2_doubleline 548b92f8445Sssszwic io.perfInfo.bank_hit(0) := s2_hits(0) 549b92f8445Sssszwic io.perfInfo.bank_hit(1) := s2_hits(1) && s2_doubleline 55088895b11Sxu_zh io.perfInfo.except_0 := s2_exception(0) =/= ExceptionType.none 551b92f8445Sssszwic io.perfInfo.hit := s2_hits(0) && (!s2_doubleline || s2_hits(1)) 55258dbdfc2SJay 55358dbdfc2SJay /** <PERF> fetch bubble generated by icache miss */ 55400240ba6SJay XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish) 555b92f8445Sssszwic XSPerfAccumulate("icache_bubble_s0_wayLookup", s0_valid && !fromWayLookup.ready) 556b92f8445Sssszwic 557b92f8445Sssszwic io.fetch.topdownIcacheMiss := !s2_fetch_finish 558b92f8445Sssszwic io.fetch.topdownItlbMiss := s0_valid && !fromWayLookup.ready 559b92f8445Sssszwic 560b92f8445Sssszwic // class ICacheTouchDB(implicit p: Parameters) extends ICacheBundle{ 561b92f8445Sssszwic // val blkPaddr = UInt((PAddrBits - blockOffBits).W) 562b92f8445Sssszwic // val vSetIdx = UInt(idxBits.W) 563b92f8445Sssszwic // val waymask = UInt(log2Ceil(nWays).W) 564b92f8445Sssszwic // } 565b92f8445Sssszwic 566b92f8445Sssszwic // val isWriteICacheTouchTable = WireInit(Constantin.createRecord("isWriteICacheTouchTable" + p(XSCoreParamsKey).HartId.toString)) 567b92f8445Sssszwic // val ICacheTouchTable = ChiselDB.createTable("ICacheTouchTable" + p(XSCoreParamsKey).HartId.toString, new ICacheTouchDB) 568b92f8445Sssszwic 569b92f8445Sssszwic // val ICacheTouchDumpData = Wire(Vec(PortNumber, new ICacheTouchDB)) 570b92f8445Sssszwic // (0 until PortNumber).foreach{ i => 571b92f8445Sssszwic // ICacheTouchDumpData(i).blkPaddr := getBlkAddr(s2_req_paddr(i)) 572b92f8445Sssszwic // ICacheTouchDumpData(i).vSetIdx := s2_req_vSetIdx(i) 573b92f8445Sssszwic // ICacheTouchDumpData(i).waymask := OHToUInt(s2_tag_match_vec(i)) 574b92f8445Sssszwic // ICacheTouchTable.log( 575b92f8445Sssszwic // data = ICacheTouchDumpData(i), 576b92f8445Sssszwic // en = io.touch(i).valid, 577b92f8445Sssszwic // site = "req_" + i.toString, 578b92f8445Sssszwic // clock = clock, 579b92f8445Sssszwic // reset = reset 580b92f8445Sssszwic // ) 581b92f8445Sssszwic // } 58258dbdfc2SJay 583a61a35e0Sssszwic /** 584a61a35e0Sssszwic ****************************************************************************** 585a61a35e0Sssszwic * difftest refill check 586a61a35e0Sssszwic ****************************************************************************** 587a61a35e0Sssszwic */ 588afa866b1Sguohongyu if (env.EnableDifftest) { 589afa866b1Sguohongyu val discards = (0 until PortNumber).map { i => 590002c10a4SYanqin Li val discard = toIFU(i).bits.exception =/= ExceptionType.none || toIFU(i).bits.pmp_mmio || 591002c10a4SYanqin Li Pbmt.isUncache(toIFU(i).bits.itlb_pbmt) 592afa866b1Sguohongyu discard 593afa866b1Sguohongyu } 594b92f8445Sssszwic val blkPaddrAll = s2_req_paddr.map(addr => addr(PAddrBits - 1, blockOffBits) << blockOffBits) 595b92f8445Sssszwic (0 until ICacheDataBanks).map { i => 596a0c65233SYinan Xu val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true) 5977d45a146SYinan Xu diffMainPipeOut.coreid := io.hartId 598b92f8445Sssszwic diffMainPipeOut.index := (3 + i).U 599b92f8445Sssszwic 600b92f8445Sssszwic val bankSel = getBankSel(s2_req_offset, s2_valid).reduce(_ | _) 601b92f8445Sssszwic val lineSel = getLineSel(s2_req_offset) 602b92f8445Sssszwic 603b92f8445Sssszwic diffMainPipeOut.valid := s2_fire && bankSel(i).asBool && Mux(lineSel(i), !discards(1), !discards(0)) 604*cf7d6b7aSMuzi diffMainPipeOut.addr := Mux( 605*cf7d6b7aSMuzi lineSel(i), 606*cf7d6b7aSMuzi blkPaddrAll(1) + (i.U << (log2Ceil(blockBytes / ICacheDataBanks))), 607*cf7d6b7aSMuzi blkPaddrAll(0) + (i.U << (log2Ceil(blockBytes / ICacheDataBanks))) 608*cf7d6b7aSMuzi ) 609b92f8445Sssszwic 610b92f8445Sssszwic diffMainPipeOut.data := s2_datas(i).asTypeOf(diffMainPipeOut.data) 611b92f8445Sssszwic diffMainPipeOut.idtfr := DontCare 612afa866b1Sguohongyu } 613afa866b1Sguohongyu } 6141d8f4dcbSJay} 615