11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 227d45a146SYinan Xuimport difftest._ 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates 241d8f4dcbSJayimport xiangshan._ 251d8f4dcbSJayimport xiangshan.cache.mmu._ 261d8f4dcbSJayimport utils._ 273c02ee8fSwakafaimport utility._ 281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 29f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle} 301d8f4dcbSJay 311d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle 321d8f4dcbSJay{ 331d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 34*b92f8445Sssszwic def vSetIdx = get_idx(vaddr) 351d8f4dcbSJay} 361d8f4dcbSJay 371d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle 381d8f4dcbSJay{ 391d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 40a61a35e0Sssszwic // val registerData = UInt(blockBits.W) 41a61a35e0Sssszwic // val sramData = UInt(blockBits.W) 42a61a35e0Sssszwic // val select = Bool() 43*b92f8445Sssszwic val data = UInt((blockBits).W) 441d8f4dcbSJay val paddr = UInt(PAddrBits.W) 45d0de7e4aSpeixiaokun val gpaddr = UInt(GPAddrBits.W) 461d8f4dcbSJay val tlbExcp = new Bundle{ 471d8f4dcbSJay val pageFault = Bool() 48d0de7e4aSpeixiaokun val guestPageFault = Bool() 491d8f4dcbSJay val accessFault = Bool() 501d8f4dcbSJay val mmio = Bool() 511d8f4dcbSJay } 521d8f4dcbSJay} 531d8f4dcbSJay 541d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle 551d8f4dcbSJay{ 56c5c5edaeSJenius val req = Flipped(Decoupled(new FtqToICacheRequestBundle)) 57c5c5edaeSJenius val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp)) 58d2b20d1aSTang Haojin val topdownIcacheMiss = Output(Bool()) 59d2b20d1aSTang Haojin val topdownItlbMiss = Output(Bool()) 601d8f4dcbSJay} 611d8f4dcbSJay 621d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{ 63afed18b5SJenius val toIMeta = DecoupledIO(new ICacheReadBundle) 641d8f4dcbSJay val fromIMeta = Input(new ICacheMetaRespBundle) 651d8f4dcbSJay} 661d8f4dcbSJay 671d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{ 68*b92f8445Sssszwic val toIData = Vec(partWayNum, DecoupledIO(new ICacheReadBundle)) 691d8f4dcbSJay val fromIData = Input(new ICacheDataRespBundle) 701d8f4dcbSJay} 711d8f4dcbSJay 721d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{ 73*b92f8445Sssszwic val req = Decoupled(new ICacheMissReq) 74*b92f8445Sssszwic val resp = Flipped(ValidIO(new ICacheMissResp)) 751d8f4dcbSJay} 761d8f4dcbSJay 771d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{ 781d8f4dcbSJay val req = Valid(new PMPReqBundle()) 791d8f4dcbSJay val resp = Input(new PMPRespBundle()) 801d8f4dcbSJay} 811d8f4dcbSJay 821d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{ 831d8f4dcbSJay val only_0_hit = Bool() 841d8f4dcbSJay val only_0_miss = Bool() 851d8f4dcbSJay val hit_0_hit_1 = Bool() 861d8f4dcbSJay val hit_0_miss_1 = Bool() 871d8f4dcbSJay val miss_0_hit_1 = Bool() 881d8f4dcbSJay val miss_0_miss_1 = Bool() 89a108d429SJay val hit_0_except_1 = Bool() 90a108d429SJay val miss_0_except_1 = Bool() 91a108d429SJay val except_0 = Bool() 921d8f4dcbSJay val bank_hit = Vec(2,Bool()) 931d8f4dcbSJay val hit = Bool() 941d8f4dcbSJay} 951d8f4dcbSJay 961d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle { 97f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 982a3050c2SJay /*** internal interface ***/ 991d8f4dcbSJay val dataArray = new ICacheDataReqBundle 100b1ded4e8Sguohongyu /** prefetch io */ 101*b92f8445Sssszwic val touch = Vec(PortNumber,ValidIO(new ReplacerTouch)) 102*b92f8445Sssszwic val wayLookupRead = Flipped(DecoupledIO(new WayLookupInfo)) 103cb6e5d3cSssszwic 104*b92f8445Sssszwic val mshr = new ICacheMSHRBundle 1050184a80eSYanqin Li val errors = Output(Vec(PortNumber, ValidIO(new L1CacheErrorInfo))) 1062a3050c2SJay /*** outside interface ***/ 107c5c5edaeSJenius //val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 108c5c5edaeSJenius /* when ftq.valid is high in T + 1 cycle 109c5c5edaeSJenius * the ftq component must be valid in T cycle 110c5c5edaeSJenius */ 111c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 1121d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 1131d8f4dcbSJay val respStall = Input(Bool()) 11458dbdfc2SJay 115ecccf78fSJay val csr_parity_enable = Input(Bool()) 116*b92f8445Sssszwic val flush = Input(Bool()) 117*b92f8445Sssszwic 118*b92f8445Sssszwic val perfInfo = Output(new ICachePerfInfo) 1191d8f4dcbSJay} 1201d8f4dcbSJay 121f9c51548Sssszwicclass ICacheDB(implicit p: Parameters) extends ICacheBundle { 122f9c51548Sssszwic val blk_vaddr = UInt((VAddrBits - blockOffBits).W) 123f9c51548Sssszwic val blk_paddr = UInt((PAddrBits - blockOffBits).W) 124f9c51548Sssszwic val hit = Bool() 125f9c51548Sssszwic} 126f9c51548Sssszwic 1271d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule 1281d8f4dcbSJay{ 1291d8f4dcbSJay val io = IO(new ICacheMainPipeInterface) 1301d8f4dcbSJay 13158dbdfc2SJay /** Input/Output port */ 132c5c5edaeSJenius val (fromFtq, toIFU) = (io.fetch.req, io.fetch.resp) 133*b92f8445Sssszwic val (toData, fromData) = (io.dataArray.toIData, io.dataArray.fromIData) 134*b92f8445Sssszwic val (toMSHR, fromMSHR) = (io.mshr.req, io.mshr.resp) 1351d8f4dcbSJay val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 136*b92f8445Sssszwic val fromWayLookup = io.wayLookupRead 13758c354d0Sssszwic 13858c354d0Sssszwic // Statistics on the frequency distribution of FTQ fire interval 13958c354d0Sssszwic val cntFtqFireInterval = RegInit(0.U(32.W)) 14058c354d0Sssszwic cntFtqFireInterval := Mux(fromFtq.fire, 1.U, cntFtqFireInterval + 1.U) 141da05f2feSYangyu Chen XSPerfHistogram("ftq2icache_fire", 14258c354d0Sssszwic cntFtqFireInterval, fromFtq.fire, 14358c354d0Sssszwic 1, 300, 1, right_strict = true) 144b1ded4e8Sguohongyu 14558dbdfc2SJay /** pipeline control signal */ 146f1fe8698SLemover val s1_ready, s2_ready = Wire(Bool()) 147f1fe8698SLemover val s0_fire, s1_fire , s2_fire = Wire(Bool()) 148*b92f8445Sssszwic val s0_flush, s1_flush , s2_flush = Wire(Bool()) 1491d8f4dcbSJay 1502a3050c2SJay /** 1512a3050c2SJay ****************************************************************************** 15258dbdfc2SJay * ICache Stage 0 153*b92f8445Sssszwic * - send req to data SRAM 154*b92f8445Sssszwic * - get waymask and tlb info from wayLookup 1552a3050c2SJay ****************************************************************************** 1562a3050c2SJay */ 1572a3050c2SJay 15858dbdfc2SJay /** s0 control */ 159*b92f8445Sssszwic // 0,1,2,3 -> dataArray(data); 4 -> mainPipe 160*b92f8445Sssszwic // Ftq RegNext Register 161*b92f8445Sssszwic val fromFtqReq = fromFtq.bits.pcMemRead 162c5c5edaeSJenius val s0_valid = fromFtq.valid 163*b92f8445Sssszwic val s0_req_valid_all = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i)) 164*b92f8445Sssszwic val s0_req_vaddr_all = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart))) 165*b92f8445Sssszwic val s0_req_vSetIdx_all = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr_all(i).map(get_idx(_)))) 166*b92f8445Sssszwic val s0_req_offset_all = (0 until partWayNum + 1).map(i => s0_req_vaddr_all(i)(0)(log2Ceil(blockBytes)-1, 0)) 167*b92f8445Sssszwic val s0_doubleline_all = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline) 1681d8f4dcbSJay 169*b92f8445Sssszwic val s0_req_vaddr = s0_req_vaddr_all.last 170*b92f8445Sssszwic val s0_req_vSetIdx = s0_req_vSetIdx_all.last 171*b92f8445Sssszwic val s0_doubleline = s0_doubleline_all.last 17261e1db30SJay 173*b92f8445Sssszwic /** 174*b92f8445Sssszwic ****************************************************************************** 175*b92f8445Sssszwic * get waymask and tlb info from wayLookup 176*b92f8445Sssszwic ****************************************************************************** 177*b92f8445Sssszwic */ 178*b92f8445Sssszwic fromWayLookup.ready := s0_fire 179*b92f8445Sssszwic val s0_waymasks = VecInit(fromWayLookup.bits.waymask.map(_.asTypeOf(Vec(nWays, Bool())))) 180*b92f8445Sssszwic val s0_req_ptags = fromWayLookup.bits.ptag 181*b92f8445Sssszwic val s0_req_gpaddr = fromWayLookup.bits.gpaddr 182*b92f8445Sssszwic val s0_excp_tlb_af = fromWayLookup.bits.excp_tlb_af 183*b92f8445Sssszwic val s0_excp_tlb_pf = fromWayLookup.bits.excp_tlb_pf 184*b92f8445Sssszwic val s0_excp_tlb_gpf = fromWayLookup.bits.excp_tlb_gpf 185*b92f8445Sssszwic val s0_meta_errors = fromWayLookup.bits.meta_errors 186*b92f8445Sssszwic val s0_hits = VecInit((0 until PortNumber).map(i=> s0_waymasks(i).reduce(_||_))) 187f56177cbSJenius 188*b92f8445Sssszwic when(s0_fire){ 189*b92f8445Sssszwic assert((0 until PortNumber).map(i => s0_req_vSetIdx(i) === fromWayLookup.bits.vSetIdx(i)).reduce(_&&_), 190*b92f8445Sssszwic "vSetIdxs from ftq and wayLookup are different! vaddr0=0x%x ftq: vidx0=0x%x vidx1=0x%x wayLookup: vidx0=0x%x vidx1=0x%x", 191*b92f8445Sssszwic s0_req_vaddr(0), s0_req_vSetIdx(0), s0_req_vSetIdx(1), fromWayLookup.bits.vSetIdx(0), fromWayLookup.bits.vSetIdx(1)) 1921d8f4dcbSJay } 193afed18b5SJenius 194*b92f8445Sssszwic /** 195*b92f8445Sssszwic ****************************************************************************** 196*b92f8445Sssszwic * data SRAM request 197*b92f8445Sssszwic ****************************************************************************** 198*b92f8445Sssszwic */ 199*b92f8445Sssszwic for(i <- 0 until partWayNum) { 200*b92f8445Sssszwic toData(i).valid := s0_req_valid_all(i) 201*b92f8445Sssszwic toData(i).bits.isDoubleLine := s0_doubleline_all(i) 202*b92f8445Sssszwic toData(i).bits.vSetIdx := s0_req_vSetIdx_all(i) 203*b92f8445Sssszwic toData(i).bits.blkOffset := s0_req_offset_all(i) 204*b92f8445Sssszwic toData(i).bits.wayMask := s0_waymasks 205*b92f8445Sssszwic } 206afed18b5SJenius 207*b92f8445Sssszwic val s0_can_go = toData.last.ready && fromWayLookup.valid && s1_ready 208*b92f8445Sssszwic s0_flush := io.flush 209*b92f8445Sssszwic s0_fire := s0_valid && s0_can_go && !s0_flush 2102a3050c2SJay 211c5c5edaeSJenius fromFtq.ready := s0_can_go 212f1fe8698SLemover 2132a3050c2SJay /** 2142a3050c2SJay ****************************************************************************** 21558dbdfc2SJay * ICache Stage 1 216*b92f8445Sssszwic * - PMP check 217*b92f8445Sssszwic * - get Data SRAM read responses (latched for pipeline stop) 218*b92f8445Sssszwic * - monitor missUint response port 2192a3050c2SJay ****************************************************************************** 2202a3050c2SJay */ 221*b92f8445Sssszwic val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = s1_flush, lastFlush = false.B) 2221d8f4dcbSJay 223*b92f8445Sssszwic val s1_req_vaddr = RegEnable(s0_req_vaddr, 0.U.asTypeOf(s0_req_vaddr), s0_fire) 224*b92f8445Sssszwic val s1_req_ptags = RegEnable(s0_req_ptags, 0.U.asTypeOf(s0_req_ptags), s0_fire) 225*b92f8445Sssszwic val s1_req_gpaddr = RegEnable(s0_req_gpaddr, 0.U.asTypeOf(s0_req_gpaddr), s0_fire) 226*b92f8445Sssszwic val s1_doubleline = RegEnable(s0_doubleline, 0.U.asTypeOf(s0_doubleline), s0_fire) 227*b92f8445Sssszwic val s1_SRAMhits = RegEnable(s0_hits, 0.U.asTypeOf(s0_hits), s0_fire) 228*b92f8445Sssszwic val s1_excp_tlb_af = RegEnable(s0_excp_tlb_af, 0.U.asTypeOf(s0_excp_tlb_af), s0_fire) 229*b92f8445Sssszwic val s1_excp_tlb_pf = RegEnable(s0_excp_tlb_pf, 0.U.asTypeOf(s0_excp_tlb_pf), s0_fire) 230*b92f8445Sssszwic val s1_excp_tlb_gpf = RegEnable(s0_excp_tlb_gpf, 0.U.asTypeOf(s0_excp_tlb_gpf), s0_fire) 231*b92f8445Sssszwic val s1_waymasks = RegEnable(s0_waymasks, 0.U.asTypeOf(s0_waymasks), s0_fire) 232*b92f8445Sssszwic val s1_meta_errors = RegEnable(s0_meta_errors, 0.U.asTypeOf(s0_meta_errors), s0_fire) 2331d8f4dcbSJay 234*b92f8445Sssszwic val s1_req_vSetIdx = s1_req_vaddr.map(get_idx(_)) 235*b92f8445Sssszwic val s1_req_paddr = s1_req_vaddr.zip(s1_req_ptags).map{case(vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag)} 236*b92f8445Sssszwic val s1_req_offset = s1_req_vaddr(0)(log2Ceil(blockBytes)-1, 0) 237b1ded4e8Sguohongyu 2382a3050c2SJay /** 2392a3050c2SJay ****************************************************************************** 240*b92f8445Sssszwic * update replacement status register 2412a3050c2SJay ****************************************************************************** 2422a3050c2SJay */ 243*b92f8445Sssszwic (0 until PortNumber).foreach{ i => 244*b92f8445Sssszwic io.touch(i).bits.vSetIdx := s1_req_vSetIdx(i) 245*b92f8445Sssszwic io.touch(i).bits.way := OHToUInt(s1_waymasks(i)) 246*b92f8445Sssszwic } 247*b92f8445Sssszwic io.touch(0).valid := RegNext(s0_fire) && s1_SRAMhits(0) 248*b92f8445Sssszwic io.touch(1).valid := RegNext(s0_fire) && s1_SRAMhits(1) && s1_doubleline 249f1fe8698SLemover 250a61a35e0Sssszwic /** 251a61a35e0Sssszwic ****************************************************************************** 252*b92f8445Sssszwic * PMP check 253a61a35e0Sssszwic ****************************************************************************** 254a61a35e0Sssszwic */ 255*b92f8445Sssszwic val pmpExcpAF = VecInit(Seq(fromPMP(0).instr, fromPMP(1).instr && s1_doubleline)) 256*b92f8445Sssszwic val s1_excp_pmp_af = DataHoldBypass(pmpExcpAF, RegNext(s0_fire)) 257a61a35e0Sssszwic // pmp port 258a61a35e0Sssszwic toPMP.zipWithIndex.map { case (p, i) => 259*b92f8445Sssszwic p.valid := s1_valid 260*b92f8445Sssszwic p.bits.addr := s1_req_paddr(i) 261a61a35e0Sssszwic p.bits.size := 3.U // TODO 262a61a35e0Sssszwic p.bits.cmd := TlbCmd.exec 263a61a35e0Sssszwic } 2641d8f4dcbSJay 265a61a35e0Sssszwic /** 266a61a35e0Sssszwic ****************************************************************************** 267*b92f8445Sssszwic * select data from MSHR, SRAM 268a61a35e0Sssszwic ****************************************************************************** 269a61a35e0Sssszwic */ 270*b92f8445Sssszwic val s1_MSHR_match = VecInit((0 until PortNumber).map(i => (s1_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) && 271*b92f8445Sssszwic (s1_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) && 272*b92f8445Sssszwic fromMSHR.valid && !fromMSHR.bits.corrupt)) 273*b92f8445Sssszwic val s1_MSHR_hits = Seq(s1_valid && s1_MSHR_match(0), 274*b92f8445Sssszwic s1_valid && (s1_MSHR_match(1) && s1_doubleline)) 275*b92f8445Sssszwic val s1_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits/ICacheDataBanks).W))) 27679b191f7SJay 277*b92f8445Sssszwic val s1_hits = (0 until PortNumber).map(i => ValidHoldBypass(s1_MSHR_hits(i) || (RegNext(s0_fire) && s1_SRAMhits(i)), s1_fire || s1_flush)) 278a61a35e0Sssszwic 279*b92f8445Sssszwic val s1_bankIdxLow = s1_req_offset >> log2Ceil(blockBytes/ICacheDataBanks) 280*b92f8445Sssszwic val s1_bankMSHRHit = VecInit((0 until ICacheDataBanks).map(i => (i.U >= s1_bankIdxLow) && s1_MSHR_hits(0) || 281*b92f8445Sssszwic (i.U < s1_bankIdxLow) && s1_MSHR_hits(1))) 282*b92f8445Sssszwic val s1_datas = VecInit((0 until ICacheDataBanks).map(i => DataHoldBypass(Mux(s1_bankMSHRHit(i), s1_MSHR_datas(i), fromData.datas(i)), 283*b92f8445Sssszwic s1_bankMSHRHit(i) || RegNext(s0_fire)))) 284*b92f8445Sssszwic val s1_codes = DataHoldBypass(fromData.codes, RegNext(s0_fire)) 285a61a35e0Sssszwic 286*b92f8445Sssszwic s1_flush := io.flush 287*b92f8445Sssszwic s1_ready := s2_ready || !s1_valid 288*b92f8445Sssszwic s1_fire := s1_valid && s2_ready && !s1_flush 289a61a35e0Sssszwic 290a61a35e0Sssszwic /** 291a61a35e0Sssszwic ****************************************************************************** 292*b92f8445Sssszwic * ICache Stage 2 293*b92f8445Sssszwic * - send request to MSHR if ICache miss 294*b92f8445Sssszwic * - monitor missUint response port 295*b92f8445Sssszwic * - response to IFU 296a61a35e0Sssszwic ****************************************************************************** 297a61a35e0Sssszwic */ 298a61a35e0Sssszwic 299*b92f8445Sssszwic val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = s2_flush, lastFlush = false.B) 300a61a35e0Sssszwic 301*b92f8445Sssszwic val s2_req_vaddr = RegEnable(s1_req_vaddr, 0.U.asTypeOf(s1_req_vaddr), s1_fire) 302*b92f8445Sssszwic val s2_req_ptags = RegEnable(s1_req_ptags, 0.U.asTypeOf(s1_req_ptags), s1_fire) 303*b92f8445Sssszwic val s2_req_gpaddr = RegEnable(s1_req_gpaddr, 0.U.asTypeOf(s1_req_gpaddr), s0_fire) 304*b92f8445Sssszwic val s2_doubleline = RegEnable(s1_doubleline, 0.U.asTypeOf(s1_doubleline), s1_fire) 305*b92f8445Sssszwic val s2_excp_tlb_af = RegEnable(s1_excp_tlb_af, 0.U.asTypeOf(s1_excp_tlb_af), s1_fire) 306*b92f8445Sssszwic val s2_excp_tlb_pf = RegEnable(s1_excp_tlb_pf, 0.U.asTypeOf(s1_excp_tlb_pf), s1_fire) 307*b92f8445Sssszwic val s2_excp_tlb_gpf = RegEnable(s1_excp_tlb_gpf, 0.U.asTypeOf(s1_excp_tlb_gpf), s1_fire) 308*b92f8445Sssszwic val s2_excp_pmp_af = RegEnable(VecInit(fromPMP.map(_.instr)), 0.U.asTypeOf(VecInit(fromPMP.map(_.instr))), s1_fire) 309*b92f8445Sssszwic val s2_excp_pmp_mmio = RegEnable(VecInit(fromPMP.map(_.mmio)), 0.U.asTypeOf(VecInit(fromPMP.map(_.mmio))), s1_fire) 310a61a35e0Sssszwic 311*b92f8445Sssszwic val s2_req_vSetIdx = s2_req_vaddr.map(get_idx(_)) 312*b92f8445Sssszwic val s2_req_offset = s2_req_vaddr(0)(log2Ceil(blockBytes)-1, 0) 313*b92f8445Sssszwic val s2_req_paddr = s2_req_vaddr.zip(s2_req_ptags).map{case(vaddr, ptag) => get_paddr_from_ptag(vaddr, ptag)} 314a61a35e0Sssszwic 315*b92f8445Sssszwic val s2_SRAMhits = RegEnable(s1_SRAMhits, 0.U.asTypeOf(s1_SRAMhits), s1_fire) 316*b92f8445Sssszwic val s2_codes = RegEnable(s1_codes, 0.U.asTypeOf(s1_codes), s1_fire) 317*b92f8445Sssszwic val s2_hits = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 318*b92f8445Sssszwic val s2_datas = RegInit(VecInit(Seq.fill(ICacheDataBanks)(0.U((blockBits/ICacheDataBanks).W)))) 319a61a35e0Sssszwic 320a61a35e0Sssszwic /** 321a61a35e0Sssszwic ****************************************************************************** 322*b92f8445Sssszwic * report data parity error 323a61a35e0Sssszwic ****************************************************************************** 324a61a35e0Sssszwic */ 325*b92f8445Sssszwic // check data error 326*b92f8445Sssszwic val s2_bankSel = getBankSel(s2_req_offset, s2_valid) 327*b92f8445Sssszwic val s2_bank_errors = (0 until ICacheDataBanks).map(i => (encode(s2_datas(i)) =/= s2_codes(i))) 328*b92f8445Sssszwic val s2_data_errors = (0 until PortNumber).map(port => (0 until ICacheDataBanks).map(bank => 329*b92f8445Sssszwic s2_bank_errors(bank) && s2_bankSel(port)(bank).asBool).reduce(_||_) && s2_SRAMhits(port)) 330*b92f8445Sssszwic // meta error is checked in prefetch pipeline 331*b92f8445Sssszwic val s2_meta_errors = RegEnable(s1_meta_errors, 0.U.asTypeOf(s1_meta_errors), s1_fire) 332*b92f8445Sssszwic // send errors to top 333a61a35e0Sssszwic (0 until PortNumber).map{ i => 334*b92f8445Sssszwic io.errors(i).valid := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_errors(i) || s2_data_errors(i)) 335*b92f8445Sssszwic io.errors(i).bits.report_to_beu := io.csr_parity_enable && RegNext(s1_fire) && (s2_meta_errors(i) || s2_data_errors(i)) 336*b92f8445Sssszwic io.errors(i).bits.paddr := s2_req_paddr(i) 3370184a80eSYanqin Li io.errors(i).bits.source := DontCare 338*b92f8445Sssszwic io.errors(i).bits.source.tag := s2_meta_errors(i) 339*b92f8445Sssszwic io.errors(i).bits.source.data := s2_data_errors(i) 3400184a80eSYanqin Li io.errors(i).bits.source.l2 := false.B 3410184a80eSYanqin Li io.errors(i).bits.opType := DontCare 3420184a80eSYanqin Li io.errors(i).bits.opType.fetch := true.B 34379b191f7SJay } 34479b191f7SJay 345*b92f8445Sssszwic /** 346*b92f8445Sssszwic ****************************************************************************** 347*b92f8445Sssszwic * monitor missUint response port 348*b92f8445Sssszwic ****************************************************************************** 349*b92f8445Sssszwic */ 350*b92f8445Sssszwic val s2_MSHR_match = VecInit((0 until PortNumber).map(i => (s2_req_vSetIdx(i) === fromMSHR.bits.vSetIdx) && 351*b92f8445Sssszwic (s2_req_ptags(i) === getPhyTagFromBlk(fromMSHR.bits.blkPaddr)) && 352*b92f8445Sssszwic fromMSHR.valid && !fromMSHR.bits.corrupt)) 353*b92f8445Sssszwic val s2_MSHR_hits = Seq(s2_valid && s2_MSHR_match(0), 354*b92f8445Sssszwic s2_valid && (s2_MSHR_match(1) && s2_doubleline)) 355*b92f8445Sssszwic val s2_MSHR_datas = fromMSHR.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt((blockBits/ICacheDataBanks).W))) 356*b92f8445Sssszwic 357*b92f8445Sssszwic val s2_bankIdxLow = s2_req_offset >> log2Ceil(blockBytes/ICacheDataBanks) 358*b92f8445Sssszwic val s2_bankMSHRHit = VecInit((0 until ICacheDataBanks).map(i => (i.U >= s2_bankIdxLow) && s2_MSHR_hits(0) || 359*b92f8445Sssszwic (i.U < s2_bankIdxLow) && s2_MSHR_hits(1))) 360*b92f8445Sssszwic 361*b92f8445Sssszwic (0 until ICacheDataBanks).foreach{i => 362*b92f8445Sssszwic when(s1_fire) { 363*b92f8445Sssszwic s2_datas := s1_datas 364*b92f8445Sssszwic }.elsewhen(s2_bankMSHRHit(i)) { 365*b92f8445Sssszwic s2_datas(i) := s2_MSHR_datas(i) 366*b92f8445Sssszwic } 367*b92f8445Sssszwic } 368*b92f8445Sssszwic 369*b92f8445Sssszwic (0 until PortNumber).foreach{i => 370*b92f8445Sssszwic when(s1_fire) { 371*b92f8445Sssszwic s2_hits := s1_hits 372*b92f8445Sssszwic }.elsewhen(s2_MSHR_hits(i)) { 373*b92f8445Sssszwic s2_hits(i) := true.B 374*b92f8445Sssszwic } 375*b92f8445Sssszwic } 376*b92f8445Sssszwic 377*b92f8445Sssszwic val s2_corrupt = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 378*b92f8445Sssszwic (0 until PortNumber).foreach{i => 379*b92f8445Sssszwic when(s1_fire) { 380*b92f8445Sssszwic s2_corrupt(i) := false.B 381*b92f8445Sssszwic }.elsewhen(s2_MSHR_hits(i)) { 382*b92f8445Sssszwic s2_corrupt(i) := fromMSHR.bits.corrupt 383*b92f8445Sssszwic } 384*b92f8445Sssszwic } 385*b92f8445Sssszwic 386*b92f8445Sssszwic /** 387*b92f8445Sssszwic ****************************************************************************** 388*b92f8445Sssszwic * send request to MSHR if ICache miss 389*b92f8445Sssszwic ****************************************************************************** 390*b92f8445Sssszwic */ 391*b92f8445Sssszwic val s2_excp_tlb = VecInit((0 until PortNumber).map(i => s2_excp_tlb_af(i) || s2_excp_tlb_pf(i) || s2_excp_tlb_gpf(i))) 392*b92f8445Sssszwic val s2_miss = Wire(Vec(2, Bool())) 393*b92f8445Sssszwic s2_miss(0) := !s2_hits(0) && !s2_excp_tlb(0) && !s2_excp_pmp_af(0) && !s2_excp_pmp_mmio(0) 394*b92f8445Sssszwic s2_miss(1) := s2_doubleline && !s2_hits(1) && !s2_excp_tlb(0) && !s2_excp_tlb(1) && 395*b92f8445Sssszwic !s2_excp_pmp_af(0) && !s2_excp_pmp_af(1) && !s2_excp_pmp_mmio(0) 396*b92f8445Sssszwic 397*b92f8445Sssszwic val toMSHRArbiter = Module(new Arbiter(new ICacheMissReq, PortNumber)) 398*b92f8445Sssszwic 399*b92f8445Sssszwic // To avoid sending duplicate requests. 400*b92f8445Sssszwic val has_send = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 401*b92f8445Sssszwic (0 until PortNumber).foreach{ i => 402*b92f8445Sssszwic when(s1_fire) { 403*b92f8445Sssszwic has_send(i) := false.B 404*b92f8445Sssszwic }.elsewhen(toMSHRArbiter.io.in(i).fire) { 405*b92f8445Sssszwic has_send(i) := true.B 406*b92f8445Sssszwic } 407*b92f8445Sssszwic } 408*b92f8445Sssszwic 409*b92f8445Sssszwic (0 until PortNumber).map{ i => 410*b92f8445Sssszwic toMSHRArbiter.io.in(i).valid := s2_valid && s2_miss(i) && !has_send(i) && !s2_flush 411*b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.blkPaddr := getBlkAddr(s2_req_paddr(i)) 412*b92f8445Sssszwic toMSHRArbiter.io.in(i).bits.vSetIdx := s2_req_vSetIdx(i) 413*b92f8445Sssszwic } 414*b92f8445Sssszwic toMSHR <> toMSHRArbiter.io.out 415*b92f8445Sssszwic 416*b92f8445Sssszwic XSPerfAccumulate("to_missUnit_stall", toMSHR.valid && !toMSHR.ready) 417*b92f8445Sssszwic 418*b92f8445Sssszwic val s2_fetch_finish = !s2_miss.reduce(_||_) 419*b92f8445Sssszwic 420*b92f8445Sssszwic /** 421*b92f8445Sssszwic ****************************************************************************** 422*b92f8445Sssszwic * response to IFU 423*b92f8445Sssszwic ****************************************************************************** 424*b92f8445Sssszwic */ 425*b92f8445Sssszwic (0 until PortNumber).map{ i => 426*b92f8445Sssszwic if(i == 0) { 427*b92f8445Sssszwic toIFU(i).valid := s2_fire 428*b92f8445Sssszwic toIFU(i).bits.tlbExcp.pageFault := s2_excp_tlb_pf(i) 429*b92f8445Sssszwic toIFU(i).bits.tlbExcp.guestPageFault := s2_excp_tlb_gpf(i) 430*b92f8445Sssszwic toIFU(i).bits.tlbExcp.accessFault := s2_excp_tlb_af(i) || s2_excp_pmp_af(i) || s2_corrupt(i) 431*b92f8445Sssszwic toIFU(i).bits.tlbExcp.mmio := s2_excp_pmp_mmio(0) && !s2_excp_tlb(0) && !s2_excp_pmp_af(0) 432*b92f8445Sssszwic toIFU(i).bits.data := s2_datas.asTypeOf(UInt(blockBits.W)) 433*b92f8445Sssszwic } else { 434*b92f8445Sssszwic toIFU(i).valid := s2_fire && s2_doubleline 435*b92f8445Sssszwic toIFU(i).bits.tlbExcp.pageFault := s2_excp_tlb_pf(i) && s2_doubleline 436*b92f8445Sssszwic toIFU(i).bits.tlbExcp.guestPageFault := s2_excp_tlb_gpf(i) && s2_doubleline 437*b92f8445Sssszwic toIFU(i).bits.tlbExcp.accessFault := (s2_excp_tlb_af(i) || s2_excp_pmp_af(i) || s2_corrupt(i)) && s2_doubleline 438*b92f8445Sssszwic toIFU(i).bits.tlbExcp.mmio := (s2_excp_pmp_mmio(0) && !s2_excp_tlb(0) && !s2_excp_pmp_af(0)) && s2_doubleline 439*b92f8445Sssszwic toIFU(i).bits.data := DontCare 440*b92f8445Sssszwic } 441*b92f8445Sssszwic toIFU(i).bits.vaddr := s2_req_vaddr(i) 442*b92f8445Sssszwic toIFU(i).bits.paddr := s2_req_paddr(i) 443*b92f8445Sssszwic toIFU(i).bits.gpaddr := s2_req_gpaddr(i) 444*b92f8445Sssszwic } 445*b92f8445Sssszwic 446*b92f8445Sssszwic s2_flush := io.flush 447*b92f8445Sssszwic s2_ready := (s2_fetch_finish && !io.respStall) || !s2_valid 448*b92f8445Sssszwic s2_fire := s2_valid && s2_fetch_finish && !io.respStall && !s2_flush 449*b92f8445Sssszwic 450*b92f8445Sssszwic /** 451*b92f8445Sssszwic ****************************************************************************** 452*b92f8445Sssszwic * report Tilelink corrupt error 453*b92f8445Sssszwic ****************************************************************************** 454*b92f8445Sssszwic */ 455a61a35e0Sssszwic (0 until PortNumber).map{ i => 456a61a35e0Sssszwic when(RegNext(s2_fire && s2_corrupt(i))){ 457a61a35e0Sssszwic io.errors(i).valid := true.B 4580184a80eSYanqin Li io.errors(i).bits.report_to_beu := false.B // l2 should have report that to bus error unit, no need to do it again 459*b92f8445Sssszwic io.errors(i).bits.paddr := RegNext(s2_req_paddr(i)) 4600184a80eSYanqin Li io.errors(i).bits.source.tag := false.B 4610184a80eSYanqin Li io.errors(i).bits.source.data := false.B 4620184a80eSYanqin Li io.errors(i).bits.source.l2 := true.B 4631d8f4dcbSJay } 4641d8f4dcbSJay } 4651d8f4dcbSJay 466a61a35e0Sssszwic /** 467a61a35e0Sssszwic ****************************************************************************** 468a61a35e0Sssszwic * performance info. TODO: need to simplify the logic 469a61a35e0Sssszwic ***********************************************************s******************* 470a61a35e0Sssszwic */ 471*b92f8445Sssszwic io.perfInfo.only_0_hit := s2_hits(0) && !s2_doubleline 472*b92f8445Sssszwic io.perfInfo.only_0_miss := !s2_hits(0) && !s2_doubleline 473*b92f8445Sssszwic io.perfInfo.hit_0_hit_1 := s2_hits(0) && s2_hits(1) && s2_doubleline 474*b92f8445Sssszwic io.perfInfo.hit_0_miss_1 := s2_hits(0) && !s2_hits(1) && s2_doubleline 475*b92f8445Sssszwic io.perfInfo.miss_0_hit_1 := !s2_hits(0) && s2_hits(1) && s2_doubleline 476*b92f8445Sssszwic io.perfInfo.miss_0_miss_1 := !s2_hits(0) && !s2_hits(1) && s2_doubleline 477*b92f8445Sssszwic io.perfInfo.hit_0_except_1 := s2_hits(0) && (s2_excp_tlb(1) || s2_excp_pmp_af(1)) && s2_doubleline 478*b92f8445Sssszwic io.perfInfo.miss_0_except_1 := !s2_hits(0) && (s2_excp_tlb(1) || s2_excp_pmp_af(1)) && s2_doubleline 479*b92f8445Sssszwic io.perfInfo.bank_hit(0) := s2_hits(0) 480*b92f8445Sssszwic io.perfInfo.bank_hit(1) := s2_hits(1) && s2_doubleline 481*b92f8445Sssszwic io.perfInfo.except_0 := s2_excp_tlb(0) || s2_excp_pmp_af(0) 482*b92f8445Sssszwic io.perfInfo.hit := s2_hits(0) && (!s2_doubleline || s2_hits(1)) 48358dbdfc2SJay 48458dbdfc2SJay /** <PERF> fetch bubble generated by icache miss */ 48500240ba6SJay XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish ) 486*b92f8445Sssszwic XSPerfAccumulate("icache_bubble_s0_wayLookup", s0_valid && !fromWayLookup.ready) 487*b92f8445Sssszwic 488*b92f8445Sssszwic io.fetch.topdownIcacheMiss := !s2_fetch_finish 489*b92f8445Sssszwic io.fetch.topdownItlbMiss := s0_valid && !fromWayLookup.ready 490*b92f8445Sssszwic 491*b92f8445Sssszwic // class ICacheTouchDB(implicit p: Parameters) extends ICacheBundle{ 492*b92f8445Sssszwic // val blkPaddr = UInt((PAddrBits - blockOffBits).W) 493*b92f8445Sssszwic // val vSetIdx = UInt(idxBits.W) 494*b92f8445Sssszwic // val waymask = UInt(log2Ceil(nWays).W) 495*b92f8445Sssszwic // } 496*b92f8445Sssszwic 497*b92f8445Sssszwic // val isWriteICacheTouchTable = WireInit(Constantin.createRecord("isWriteICacheTouchTable" + p(XSCoreParamsKey).HartId.toString)) 498*b92f8445Sssszwic // val ICacheTouchTable = ChiselDB.createTable("ICacheTouchTable" + p(XSCoreParamsKey).HartId.toString, new ICacheTouchDB) 499*b92f8445Sssszwic 500*b92f8445Sssszwic // val ICacheTouchDumpData = Wire(Vec(PortNumber, new ICacheTouchDB)) 501*b92f8445Sssszwic // (0 until PortNumber).foreach{ i => 502*b92f8445Sssszwic // ICacheTouchDumpData(i).blkPaddr := getBlkAddr(s2_req_paddr(i)) 503*b92f8445Sssszwic // ICacheTouchDumpData(i).vSetIdx := s2_req_vSetIdx(i) 504*b92f8445Sssszwic // ICacheTouchDumpData(i).waymask := OHToUInt(s2_tag_match_vec(i)) 505*b92f8445Sssszwic // ICacheTouchTable.log( 506*b92f8445Sssszwic // data = ICacheTouchDumpData(i), 507*b92f8445Sssszwic // en = io.touch(i).valid, 508*b92f8445Sssszwic // site = "req_" + i.toString, 509*b92f8445Sssszwic // clock = clock, 510*b92f8445Sssszwic // reset = reset 511*b92f8445Sssszwic // ) 512*b92f8445Sssszwic // } 51358dbdfc2SJay 514a61a35e0Sssszwic /** 515a61a35e0Sssszwic ****************************************************************************** 516a61a35e0Sssszwic * difftest refill check 517a61a35e0Sssszwic ****************************************************************************** 518a61a35e0Sssszwic */ 519afa866b1Sguohongyu if (env.EnableDifftest) { 520afa866b1Sguohongyu val discards = (0 until PortNumber).map { i => 521b436d3b6Speixiaokun val discard = toIFU(i).bits.tlbExcp.pageFault || toIFU(i).bits.tlbExcp.guestPageFault || toIFU(i).bits.tlbExcp.accessFault || toIFU(i).bits.tlbExcp.mmio 522afa866b1Sguohongyu discard 523afa866b1Sguohongyu } 524*b92f8445Sssszwic val blkPaddrAll = s2_req_paddr.map(addr => addr(PAddrBits - 1, blockOffBits) << blockOffBits) 525*b92f8445Sssszwic (0 until ICacheDataBanks).map { i => 526a0c65233SYinan Xu val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true) 5277d45a146SYinan Xu diffMainPipeOut.coreid := io.hartId 528*b92f8445Sssszwic diffMainPipeOut.index := (3 + i).U 529*b92f8445Sssszwic 530*b92f8445Sssszwic val bankSel = getBankSel(s2_req_offset, s2_valid).reduce(_|_) 531*b92f8445Sssszwic val lineSel = getLineSel(s2_req_offset) 532*b92f8445Sssszwic 533*b92f8445Sssszwic diffMainPipeOut.valid := s2_fire && bankSel(i).asBool && Mux(lineSel(i), !discards(1), !discards(0)) 534*b92f8445Sssszwic diffMainPipeOut.addr := Mux(lineSel(i), blkPaddrAll(1) + (i.U << (log2Ceil(blockBytes/ICacheDataBanks))), 535*b92f8445Sssszwic blkPaddrAll(0) + (i.U << (log2Ceil(blockBytes/ICacheDataBanks)))) 536*b92f8445Sssszwic 537*b92f8445Sssszwic diffMainPipeOut.data := s2_datas(i).asTypeOf(diffMainPipeOut.data) 538*b92f8445Sssszwic diffMainPipeOut.idtfr := DontCare 539afa866b1Sguohongyu } 540afa866b1Sguohongyu } 5411d8f4dcbSJay}