11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 227d45a146SYinan Xuimport difftest._ 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates 241d8f4dcbSJayimport xiangshan._ 251d8f4dcbSJayimport xiangshan.cache.mmu._ 261d8f4dcbSJayimport utils._ 273c02ee8fSwakafaimport utility._ 281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 29f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle} 30cb6e5d3cSssszwicimport org.scalatest.tools.SuiteResultHolder 311d8f4dcbSJay 321d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle 331d8f4dcbSJay{ 341d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 351d8f4dcbSJay def vsetIdx = get_idx(vaddr) 361d8f4dcbSJay} 371d8f4dcbSJay 381d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle 391d8f4dcbSJay{ 401d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 41dc270d3bSJenius val registerData = UInt(blockBits.W) 42dc270d3bSJenius val sramData = UInt(blockBits.W) 43dc270d3bSJenius val select = Bool() 441d8f4dcbSJay val paddr = UInt(PAddrBits.W) 451d8f4dcbSJay val tlbExcp = new Bundle{ 461d8f4dcbSJay val pageFault = Bool() 471d8f4dcbSJay val accessFault = Bool() 481d8f4dcbSJay val mmio = Bool() 491d8f4dcbSJay } 501d8f4dcbSJay} 511d8f4dcbSJay 521d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle 531d8f4dcbSJay{ 54c5c5edaeSJenius val req = Flipped(Decoupled(new FtqToICacheRequestBundle)) 55c5c5edaeSJenius val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp)) 56d2b20d1aSTang Haojin val topdownIcacheMiss = Output(Bool()) 57d2b20d1aSTang Haojin val topdownItlbMiss = Output(Bool()) 581d8f4dcbSJay} 591d8f4dcbSJay 601d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{ 61afed18b5SJenius val toIMeta = DecoupledIO(new ICacheReadBundle) 621d8f4dcbSJay val fromIMeta = Input(new ICacheMetaRespBundle) 631d8f4dcbSJay} 641d8f4dcbSJay 651d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{ 662da4ac8cSJenius val toIData = DecoupledIO(Vec(partWayNum, new ICacheReadBundle)) 671d8f4dcbSJay val fromIData = Input(new ICacheDataRespBundle) 681d8f4dcbSJay} 691d8f4dcbSJay 701d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{ 711d8f4dcbSJay val toMSHR = Decoupled(new ICacheMissReq) 721d8f4dcbSJay val fromMSHR = Flipped(ValidIO(new ICacheMissResp)) 731d8f4dcbSJay} 741d8f4dcbSJay 751d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{ 761d8f4dcbSJay val req = Valid(new PMPReqBundle()) 771d8f4dcbSJay val resp = Input(new PMPRespBundle()) 781d8f4dcbSJay} 791d8f4dcbSJay 801d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{ 811d8f4dcbSJay val only_0_hit = Bool() 821d8f4dcbSJay val only_0_miss = Bool() 831d8f4dcbSJay val hit_0_hit_1 = Bool() 841d8f4dcbSJay val hit_0_miss_1 = Bool() 851d8f4dcbSJay val miss_0_hit_1 = Bool() 861d8f4dcbSJay val miss_0_miss_1 = Bool() 87a108d429SJay val hit_0_except_1 = Bool() 88a108d429SJay val miss_0_except_1 = Bool() 89a108d429SJay val except_0 = Bool() 901d8f4dcbSJay val bank_hit = Vec(2,Bool()) 911d8f4dcbSJay val hit = Bool() 921d8f4dcbSJay} 931d8f4dcbSJay 941d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle { 95c2ba7c80Sguohongyu val hartId = Input(UInt(8.W)) 962a3050c2SJay /*** internal interface ***/ 971d8f4dcbSJay val metaArray = new ICacheMetaReqBundle 981d8f4dcbSJay val dataArray = new ICacheDataReqBundle 99b1ded4e8Sguohongyu /** prefetch io */ 100cb6e5d3cSssszwic val IPFBufferRead = Flipped(new IPFBufferRead) 101cb6e5d3cSssszwic val PIQRead = Flipped(new PIQRead) 102cb6e5d3cSssszwic 103cb6e5d3cSssszwic val IPFReplacer = Flipped(new IPFReplacer) 104cb6e5d3cSssszwic // val mainPipeMissInfo = new MainPipeMissInfo() 105cb6e5d3cSssszwic val mainPipeMissInfo = Vec(PortNumber, ValidIO(new MainPipeMissInfo)) 106b1ded4e8Sguohongyu 1071d8f4dcbSJay val mshr = Vec(PortNumber, new ICacheMSHRBundle) 10858dbdfc2SJay val errors = Output(Vec(PortNumber, new L1CacheErrorInfo)) 1092a3050c2SJay /*** outside interface ***/ 110c5c5edaeSJenius //val fetch = Vec(PortNumber, new ICacheMainPipeBundle) 111c5c5edaeSJenius /* when ftq.valid is high in T + 1 cycle 112c5c5edaeSJenius * the ftq component must be valid in T cycle 113c5c5edaeSJenius */ 114c5c5edaeSJenius val fetch = new ICacheMainPipeBundle 1151d8f4dcbSJay val pmp = Vec(PortNumber, new ICachePMPBundle) 116f1fe8698SLemover val itlb = Vec(PortNumber, new TlbRequestIO) 1171d8f4dcbSJay val respStall = Input(Bool()) 1181d8f4dcbSJay val perfInfo = Output(new ICachePerfInfo) 11958dbdfc2SJay 120ecccf78fSJay val csr_parity_enable = Input(Bool()) 1211d8f4dcbSJay} 1221d8f4dcbSJay 1231d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule 1241d8f4dcbSJay{ 1251d8f4dcbSJay val io = IO(new ICacheMainPipeInterface) 1261d8f4dcbSJay 12758dbdfc2SJay /** Input/Output port */ 128c5c5edaeSJenius val (fromFtq, toIFU) = (io.fetch.req, io.fetch.resp) 1292a3050c2SJay val (toMeta, metaResp) = (io.metaArray.toIMeta, io.metaArray.fromIMeta) 1302a3050c2SJay val (toData, dataResp) = (io.dataArray.toIData, io.dataArray.fromIData) 131cb6e5d3cSssszwic val (toIPF, fromIPF) = (io.IPFBufferRead.req, io.IPFBufferRead.resp) 132cb6e5d3cSssszwic val (toPIQ, fromPIQ) = (io.PIQRead.req, io.PIQRead.resp) 1331d8f4dcbSJay val (toMSHR, fromMSHR) = (io.mshr.map(_.toMSHR), io.mshr.map(_.fromMSHR)) 1341d8f4dcbSJay val (toITLB, fromITLB) = (io.itlb.map(_.req), io.itlb.map(_.resp)) 1351d8f4dcbSJay val (toPMP, fromPMP) = (io.pmp.map(_.req), io.pmp.map(_.resp)) 136cb6e5d3cSssszwic 137cb6e5d3cSssszwic val IPFReplacer = io.IPFReplacer 138b1ded4e8Sguohongyu val mainPipeMissInfo = io.mainPipeMissInfo 139cb6e5d3cSssszwic // val mainPipeMissInfo = io.mainPipeMissInfo 140b1ded4e8Sguohongyu 141c5c5edaeSJenius //Ftq RegNext Register 142b004fa13SJenius val fromFtqReq = fromFtq.bits.pcMemRead 143c5c5edaeSJenius 14458dbdfc2SJay /** pipeline control signal */ 145f1fe8698SLemover val s1_ready, s2_ready = Wire(Bool()) 146f1fe8698SLemover val s0_fire, s1_fire , s2_fire = Wire(Bool()) 1471d8f4dcbSJay 1487052722fSJay val missSwitchBit = RegInit(false.B) 1497052722fSJay 15058dbdfc2SJay /** replacement status register */ 15158dbdfc2SJay val touch_sets = Seq.fill(2)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W)))) 15258dbdfc2SJay val touch_ways = Seq.fill(2)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))) ) 15358dbdfc2SJay 1542a3050c2SJay /** 1552a3050c2SJay ****************************************************************************** 15658dbdfc2SJay * ICache Stage 0 15758dbdfc2SJay * - send req to ITLB and wait for tlb miss fixing 15858dbdfc2SJay * - send req to Meta/Data SRAM 1592a3050c2SJay ****************************************************************************** 1602a3050c2SJay */ 1612a3050c2SJay 16258dbdfc2SJay /** s0 control */ 163c5c5edaeSJenius val s0_valid = fromFtq.valid 164f56177cbSJenius val s0_req_vaddr = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart))) 165f56177cbSJenius val s0_req_vsetIdx = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr(i).map(get_idx(_)))) 166dc270d3bSJenius val s0_only_first = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && !fromFtqReq(i).crossCacheline) 167dc270d3bSJenius val s0_double_line = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline) 1681d8f4dcbSJay 169f1fe8698SLemover val s0_final_valid = s0_valid 170fd0ecf27SLingrui98 val s0_final_vaddr = s0_req_vaddr.head 171fd0ecf27SLingrui98 val s0_final_vsetIdx = s0_req_vsetIdx.head 172fd0ecf27SLingrui98 val s0_final_only_first = s0_only_first.head 173fd0ecf27SLingrui98 val s0_final_double_line = s0_double_line.head 17461e1db30SJay 17558dbdfc2SJay /** SRAM request */ 176f56177cbSJenius //0 -> metaread, 1,2,3 -> data, 3 -> code 4 -> itlb 17738160951Sguohongyu // TODO: it seems like 0,1,2,3 -> dataArray(data); 3 -> dataArray(code); 0 -> metaArray; 4 -> itlb 178f56177cbSJenius val ftq_req_to_data_doubleline = s0_double_line.init 179f56177cbSJenius val ftq_req_to_data_vset_idx = s0_req_vsetIdx.init 180dc270d3bSJenius val ftq_req_to_data_valid = fromFtq.bits.readValid.init 181f56177cbSJenius 182f56177cbSJenius val ftq_req_to_meta_doubleline = s0_double_line.head 183f56177cbSJenius val ftq_req_to_meta_vset_idx = s0_req_vsetIdx.head 184f56177cbSJenius 185f56177cbSJenius val ftq_req_to_itlb_only_first = s0_only_first.last 186f56177cbSJenius val ftq_req_to_itlb_doubleline = s0_double_line.last 187f56177cbSJenius val ftq_req_to_itlb_vaddr = s0_req_vaddr.last 188f56177cbSJenius val ftq_req_to_itlb_vset_idx = s0_req_vsetIdx.last 189f56177cbSJenius 190cb6e5d3cSssszwic /** Data request */ 191fd0ecf27SLingrui98 for(i <- 0 until partWayNum) { 192dc270d3bSJenius toData.valid := ftq_req_to_data_valid(i) && !missSwitchBit 193f56177cbSJenius toData.bits(i).isDoubleLine := ftq_req_to_data_doubleline(i) 194f56177cbSJenius toData.bits(i).vSetIdx := ftq_req_to_data_vset_idx(i) 1951d8f4dcbSJay } 196afed18b5SJenius 197cb6e5d3cSssszwic /** Meta request */ 198afed18b5SJenius toMeta.valid := s0_valid && !missSwitchBit 199f56177cbSJenius toMeta.bits.isDoubleLine := ftq_req_to_meta_doubleline 200f56177cbSJenius toMeta.bits.vSetIdx := ftq_req_to_meta_vset_idx 201afed18b5SJenius 202cb6e5d3cSssszwic val toITLB_s0_valid = VecInit(Seq(s0_valid, s0_valid && ftq_req_to_itlb_doubleline)) 203cb6e5d3cSssszwic val toITLB_s0_size = VecInit(Seq(3.U, 3.U)) // TODO: fix the size 204cb6e5d3cSssszwic val toITLB_s0_vaddr = ftq_req_to_itlb_vaddr 205cb6e5d3cSssszwic val toITLB_s0_debug_pc = ftq_req_to_itlb_vaddr 2062a3050c2SJay 207f1fe8698SLemover val itlb_can_go = toITLB(0).ready && toITLB(1).ready 208afed18b5SJenius val icache_can_go = toData.ready && toMeta.ready 209f1fe8698SLemover val pipe_can_go = !missSwitchBit && s1_ready 210f1fe8698SLemover val s0_can_go = itlb_can_go && icache_can_go && pipe_can_go 211cb6e5d3cSssszwic s0_fire := s0_valid && s0_can_go 2127052722fSJay 2137052722fSJay //TODO: fix GTimer() condition 214c5c5edaeSJenius fromFtq.ready := s0_can_go 215f1fe8698SLemover 2162a3050c2SJay /** 2172a3050c2SJay ****************************************************************************** 21858dbdfc2SJay * ICache Stage 1 21958dbdfc2SJay * - get tlb resp data (exceptiong info and physical addresses) 22058dbdfc2SJay * - get Meta/Data SRAM read responses (latched for pipeline stop) 22158dbdfc2SJay * - tag compare/hit check 222cb6e5d3cSssszwic * - check ipf and piq 2232a3050c2SJay ****************************************************************************** 2242a3050c2SJay */ 2251d8f4dcbSJay 22658dbdfc2SJay /** s1 control */ 227f1fe8698SLemover val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = false.B, lastFlush = false.B) 2281d8f4dcbSJay 229005e809bSJiuyang Liu val s1_req_vaddr = RegEnable(s0_final_vaddr, s0_fire) 230005e809bSJiuyang Liu val s1_req_vsetIdx = RegEnable(s0_final_vsetIdx, s0_fire) 231005e809bSJiuyang Liu val s1_only_first = RegEnable(s0_final_only_first, s0_fire) 232005e809bSJiuyang Liu val s1_double_line = RegEnable(s0_final_double_line, s0_fire) 233cb6e5d3cSssszwic 234cb6e5d3cSssszwic /** tlb request and response */ 235cb6e5d3cSssszwic fromITLB.foreach(_.ready := true.B) 236cb6e5d3cSssszwic val s1_wait_itlb = RegInit(VecInit(Seq.fill(PortNumber)(false.B))) 237cb6e5d3cSssszwic 238cb6e5d3cSssszwic (0 until PortNumber).foreach { i => 239cb6e5d3cSssszwic when(RegNext(s0_fire) && fromITLB(i).bits.miss) { 240cb6e5d3cSssszwic s1_wait_itlb(i) := true.B 241cb6e5d3cSssszwic }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) { 242cb6e5d3cSssszwic s1_wait_itlb(i) := false.B 243cb6e5d3cSssszwic } 244cb6e5d3cSssszwic } 245cb6e5d3cSssszwic 246cb6e5d3cSssszwic val s1_need_itlb = Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss, 247cb6e5d3cSssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_double_line) 248cb6e5d3cSssszwic val toITLB_s1_valid = s1_need_itlb 249cb6e5d3cSssszwic val toITLB_s1_size = VecInit(Seq(3.U, 3.U)) // TODO: fix the size 250cb6e5d3cSssszwic val toITLB_s1_vaddr = s1_req_vaddr 251cb6e5d3cSssszwic val toITLB_s1_debug_pc = s1_req_vaddr 252cb6e5d3cSssszwic 253cb6e5d3cSssszwic // chose tlb req between s0 and s1 254cb6e5d3cSssszwic for (i <- 0 until PortNumber) { 255cb6e5d3cSssszwic toITLB(i).valid := Mux(s1_need_itlb(i), toITLB_s1_valid(i), toITLB_s0_valid(i)) 256cb6e5d3cSssszwic toITLB(i).bits.size := Mux(s1_need_itlb(i), toITLB_s1_size(i), toITLB_s0_size(i)) 257cb6e5d3cSssszwic toITLB(i).bits.vaddr := Mux(s1_need_itlb(i), toITLB_s1_vaddr(i), toITLB_s0_vaddr(i)) 258cb6e5d3cSssszwic toITLB(i).bits.debug.pc := Mux(s1_need_itlb(i), toITLB_s1_debug_pc(i), toITLB_s0_debug_pc(i)) 259cb6e5d3cSssszwic } 260cb6e5d3cSssszwic toITLB.map{port => 261cb6e5d3cSssszwic port.bits.cmd := TlbCmd.exec 262cb6e5d3cSssszwic port.bits.memidx := DontCare 263cb6e5d3cSssszwic port.bits.debug.robIdx := DontCare 264cb6e5d3cSssszwic port.bits.no_translate := false.B 265cb6e5d3cSssszwic port.bits.debug.isFirstIssue := DontCare 266cb6e5d3cSssszwic port.bits.kill := DontCare 267cb6e5d3cSssszwic } 268cb6e5d3cSssszwic io.itlb.foreach(_.req_kill := false.B) 2691d8f4dcbSJay 27058dbdfc2SJay /** tlb response latch for pipeline stop */ 271cb6e5d3cSssszwic // val tlb_valid_tmp = VecInit((0 until PortNumber).map(i => 272cb6e5d3cSssszwic // (RegNext(s0_fire) || s1_wait_itlb(i)) && !fromITLB(i).bits.miss)) 273cb6e5d3cSssszwic val tlb_valid_tmp = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss, 274cb6e5d3cSssszwic (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_double_line)) 275cb6e5d3cSssszwic val tlbRespPAddr = VecInit((0 until PortNumber).map(i => 276cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.paddr(0)))) 277cb6e5d3cSssszwic val tlbExcpPF = VecInit((0 until PortNumber).map(i => 278cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).pf.instr))) 279cb6e5d3cSssszwic val tlbExcpAF = VecInit((0 until PortNumber).map(i => 280cb6e5d3cSssszwic ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).af.instr))) 281cb6e5d3cSssszwic val tlbExcp = VecInit((0 until PortNumber).map(i => tlbExcpAF(i) || tlbExcpPF(i))) 2821d8f4dcbSJay 283cb6e5d3cSssszwic val s1_tlb_valid = VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_tmp(i), s1_fire))) 284cb6e5d3cSssszwic val tlbRespAllValid = s1_tlb_valid(0) && (!s1_double_line || s1_double_line && s1_tlb_valid(1)) 2852a3050c2SJay 2861d8f4dcbSJay 287d2b20d1aSTang Haojin def numOfStage = 3 288d2b20d1aSTang Haojin val itlbMissStage = RegInit(VecInit(Seq.fill(numOfStage - 1)(0.B))) 289d2b20d1aSTang Haojin itlbMissStage(0) := !tlbRespAllValid 290d2b20d1aSTang Haojin for (i <- 1 until numOfStage - 1) { 291d2b20d1aSTang Haojin itlbMissStage(i) := itlbMissStage(i - 1) 292d2b20d1aSTang Haojin } 293d2b20d1aSTang Haojin 2947d45a146SYinan Xu 29558dbdfc2SJay /** s1 hit check/tag compare */ 2961d8f4dcbSJay val s1_req_paddr = tlbRespPAddr 2971d8f4dcbSJay val s1_req_ptags = VecInit(s1_req_paddr.map(get_phy_tag(_))) 2981d8f4dcbSJay 299ccfc2e22SJay val s1_meta_ptags = ResultHoldBypass(data = metaResp.tags, valid = RegNext(s0_fire)) 30060672d5eSguohongyu val s1_meta_valids = ResultHoldBypass(data = metaResp.entryValid, valid = RegNext(s0_fire)) 30158dbdfc2SJay val s1_meta_errors = ResultHoldBypass(data = metaResp.errors, valid = RegNext(s0_fire)) 30258dbdfc2SJay 303ccfc2e22SJay val s1_data_cacheline = ResultHoldBypass(data = dataResp.datas, valid = RegNext(s0_fire)) 30479b191f7SJay val s1_data_errorBits = ResultHoldBypass(data = dataResp.codes, valid = RegNext(s0_fire)) 3051d8f4dcbSJay 3061d8f4dcbSJay val s1_tag_eq_vec = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w => s1_meta_ptags(p)(w) === s1_req_ptags(p) )))) 30760672d5eSguohongyu val s1_tag_match_vec = VecInit((0 until PortNumber).map( k => VecInit(s1_tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w) /*s1_meta_cohs(k)(w).isValid()*/}))) 3081d8f4dcbSJay val s1_tag_match = VecInit(s1_tag_match_vec.map(vector => ParallelOR(vector))) 3091d8f4dcbSJay 310f1fe8698SLemover val s1_port_hit = VecInit(Seq(s1_tag_match(0) && s1_valid && !tlbExcp(0), s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) )) 311f1fe8698SLemover val s1_bank_miss = VecInit(Seq(!s1_tag_match(0) && s1_valid && !tlbExcp(0), !s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) )) 3121d8f4dcbSJay val s1_hit = (s1_port_hit(0) && s1_port_hit(1)) || (!s1_double_line && s1_port_hit(0)) 3131d8f4dcbSJay 3141d8f4dcbSJay /** choose victim cacheline */ 3155b0cc873Sguohongyu val replacers = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber)) 3165b0cc873Sguohongyu val s1_victim_oh = ResultHoldBypass(data = VecInit(replacers.zipWithIndex.map{case (replacer, i) => UIntToOH(replacer.way(s1_req_vsetIdx(i)(highestIdxBit, 1)))}), valid = RegNext(s0_fire)) 3171d8f4dcbSJay 3181d8f4dcbSJay 319cb6e5d3cSssszwic// when(s1_fire){ 320cb6e5d3cSssszwic// // when (!(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line))) { 321cb6e5d3cSssszwic// // printf("Multiple hit in main pipe\n") 322cb6e5d3cSssszwic// // } 323cb6e5d3cSssszwic// assert(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line), 324cb6e5d3cSssszwic// "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ", 325cb6e5d3cSssszwic// PopCount(s1_tag_match_vec(0)) > 1.U,s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0), 326cb6e5d3cSssszwic// PopCount(s1_tag_match_vec(1)) > 1.U && s1_double_line, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1)) 327f304ee97Sguohongyu// } 3281d8f4dcbSJay 3291d8f4dcbSJay ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)} 330cb6e5d3cSssszwic IPFReplacer.waymask := UIntToOH(replacers(0).way(IPFReplacer.vsetIdx)) 3311d8f4dcbSJay 332cb6e5d3cSssszwic /** check ipf, get result at the same cycle */ 333b1ded4e8Sguohongyu (0 until PortNumber).foreach { i => 334cb6e5d3cSssszwic toIPF(i).valid := tlb_valid_tmp(i) 335b1ded4e8Sguohongyu toIPF(i).bits.paddr := s1_req_paddr(i) 336b1ded4e8Sguohongyu } 337cb6e5d3cSssszwic val s1_ipf_hit = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromIPF(i).ipf_hit)) 338cb6e5d3cSssszwic val s1_ipf_hit_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_ipf_hit(i), release = s1_fire, flush = false.B))) 339cb6e5d3cSssszwic val s1_ipf_data = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromIPF(i).cacheline, valid = s1_ipf_hit(i)))) 340b1ded4e8Sguohongyu 341b1ded4e8Sguohongyu /** check in PIQ, if hit, wait until prefetch port hit */ 342cb6e5d3cSssszwic (0 until PortNumber).foreach { i => 343cb6e5d3cSssszwic toPIQ(i).valid := tlb_valid_tmp(i) 344cb6e5d3cSssszwic toPIQ(i).bits.paddr := s1_req_paddr(i) 345b1ded4e8Sguohongyu } 346cb6e5d3cSssszwic val s1_piq_hit = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit)) 347cb6e5d3cSssszwic val s1_piq_hit_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_piq_hit(i), release = s1_fire, flush = false.B))) 348cb6e5d3cSssszwic val wait_piq = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit && !fromPIQ(i).data_valid)) 349cb6e5d3cSssszwic val wait_piq_latch = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = wait_piq(i), release = s1_fire || fromPIQ(i).data_valid, flush = false.B))) 350cb6e5d3cSssszwic val s1_piq_data = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromPIQ(i).cacheline, valid = (s1_piq_hit(i) || wait_piq_latch(i)) && fromPIQ(i).data_valid))) 351b1ded4e8Sguohongyu 352cb6e5d3cSssszwic val s1_wait = (0 until PortNumber).map(i => wait_piq_latch(i) && !fromPIQ(i).data_valid).reduce(_||_) 353b1ded4e8Sguohongyu 354cb6e5d3cSssszwic val s1_prefetch_hit = VecInit((0 until PortNumber).map(i => s1_ipf_hit_latch(i) || s1_piq_hit_latch(i))) 355cb6e5d3cSssszwic val s1_prefetch_hit_data = VecInit((0 until PortNumber).map(i => Mux(s1_ipf_hit_latch(i), s1_ipf_data(i), s1_piq_data(i)))) 356cb6e5d3cSssszwic 357cb6e5d3cSssszwic s1_ready := s2_ready && tlbRespAllValid && !s1_wait || !s1_valid 358cb6e5d3cSssszwic s1_fire := s1_valid && tlbRespAllValid && s2_ready && !s1_wait 359b1ded4e8Sguohongyu 360ebfdba16Sguohongyu if (env.EnableDifftest) { 361afa866b1Sguohongyu (0 until PortNumber).foreach { i => 362*a0c65233SYinan Xu val diffPIQ = DifftestModule(new DiffRefillEvent, dontCare = true) 3637d45a146SYinan Xu diffPIQ.coreid := io.hartId 3647d45a146SYinan Xu diffPIQ.index := (i + 7).U 3657d45a146SYinan Xu if (i == 0) diffPIQ.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_piq_hit_latch(i) && !tlbExcp(0) 3667d45a146SYinan Xu else diffPIQ.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_piq_hit_latch(i) && s1_double_line && !tlbExcp(0) && !tlbExcp(1) 3677d45a146SYinan Xu diffPIQ.addr := s1_req_paddr(i) 3687d45a146SYinan Xu diffPIQ.data := s1_piq_data(i).asTypeOf(diffPIQ.data) 369afa866b1Sguohongyu } 370ebfdba16Sguohongyu } 371afa866b1Sguohongyu 37258dbdfc2SJay /** <PERF> replace victim way number */ 37358dbdfc2SJay 3741d8f4dcbSJay (0 until nWays).map{ w => 3751d8f4dcbSJay XSPerfAccumulate("line_0_hit_way_" + Integer.toString(w, 10), s1_fire && s1_port_hit(0) && OHToUInt(s1_tag_match_vec(0)) === w.U) 3761d8f4dcbSJay } 3771d8f4dcbSJay 3781d8f4dcbSJay (0 until nWays).map{ w => 3791d8f4dcbSJay XSPerfAccumulate("line_0_victim_way_" + Integer.toString(w, 10), s1_fire && !s1_port_hit(0) && OHToUInt(s1_victim_oh(0)) === w.U) 3801d8f4dcbSJay } 3811d8f4dcbSJay 3821d8f4dcbSJay (0 until nWays).map{ w => 3831d8f4dcbSJay XSPerfAccumulate("line_1_hit_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && s1_port_hit(1) && OHToUInt(s1_tag_match_vec(1)) === w.U) 3841d8f4dcbSJay } 3851d8f4dcbSJay 3861d8f4dcbSJay (0 until nWays).map{ w => 3871d8f4dcbSJay XSPerfAccumulate("line_1_victim_way_" + Integer.toString(w, 10), s1_fire && s1_double_line && !s1_port_hit(1) && OHToUInt(s1_victim_oh(1)) === w.U) 3881d8f4dcbSJay } 3891d8f4dcbSJay 390b1ded4e8Sguohongyu XSPerfAccumulate("mainPipe_stage1_block_by_piq_cycles", s1_valid && s1_wait) 391b1ded4e8Sguohongyu 3922a3050c2SJay /** 3932a3050c2SJay ****************************************************************************** 39458dbdfc2SJay * ICache Stage 2 39558dbdfc2SJay * - send request to MSHR if ICache miss 39658dbdfc2SJay * - generate secondary miss status/data registers 39758dbdfc2SJay * - response to IFU 3982a3050c2SJay ****************************************************************************** 3992a3050c2SJay */ 40058dbdfc2SJay 40158dbdfc2SJay /** s2 control */ 4021d8f4dcbSJay val s2_fetch_finish = Wire(Bool()) 4031d8f4dcbSJay 404f1fe8698SLemover val s2_valid = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = false.B, lastFlush = false.B) 4051d8f4dcbSJay val s2_miss_available = Wire(Bool()) 4061d8f4dcbSJay 4071d8f4dcbSJay s2_ready := (s2_valid && s2_fetch_finish && !io.respStall) || (!s2_valid && s2_miss_available) 4081d8f4dcbSJay s2_fire := s2_valid && s2_fetch_finish && !io.respStall 4091d8f4dcbSJay 41058dbdfc2SJay /** s2 data */ 411cb6e5d3cSssszwic // val mmio = fromPMP.map(port => port.mmio) // TODO: handle it 412005e809bSJiuyang Liu val (s2_req_paddr , s2_req_vaddr) = (RegEnable(s1_req_paddr, s1_fire), RegEnable(s1_req_vaddr, s1_fire)) 413005e809bSJiuyang Liu val s2_req_vsetIdx = RegEnable(s1_req_vsetIdx, s1_fire) 414005e809bSJiuyang Liu val s2_req_ptags = RegEnable(s1_req_ptags, s1_fire) 415005e809bSJiuyang Liu val s2_only_first = RegEnable(s1_only_first, s1_fire) 416005e809bSJiuyang Liu val s2_double_line = RegEnable(s1_double_line, s1_fire) 417005e809bSJiuyang Liu val s2_hit = RegEnable(s1_hit , s1_fire) 418005e809bSJiuyang Liu val s2_port_hit = RegEnable(s1_port_hit, s1_fire) 419005e809bSJiuyang Liu val s2_bank_miss = RegEnable(s1_bank_miss, s1_fire) 420005e809bSJiuyang Liu val s2_waymask = RegEnable(s1_victim_oh, s1_fire) 421005e809bSJiuyang Liu val s2_tag_match_vec = RegEnable(s1_tag_match_vec, s1_fire) 422b1ded4e8Sguohongyu val s2_prefetch_hit = RegEnable(s1_prefetch_hit, s1_fire) 423b1ded4e8Sguohongyu val s2_prefetch_hit_data = RegEnable(s1_prefetch_hit_data, s1_fire) 424afa866b1Sguohongyu val s2_prefetch_hit_in_ipf = RegEnable(s1_ipf_hit_latch, s1_fire) 425cb6e5d3cSssszwic val s2_prefetch_hit_in_piq = RegEnable(s1_piq_hit_latch, s1_fire) 4261d8f4dcbSJay 427d2b20d1aSTang Haojin val icacheMissStage = RegInit(VecInit(Seq.fill(numOfStage - 2)(0.B))) 428d2b20d1aSTang Haojin icacheMissStage(0) := !s2_hit 429d2b20d1aSTang Haojin 430f1fe8698SLemover assert(RegNext(!s2_valid || s2_req_paddr(0)(11,0) === s2_req_vaddr(0)(11,0), true.B)) 431f1fe8698SLemover 43258dbdfc2SJay /** status imply that s2 is a secondary miss (no need to resend miss request) */ 4331d8f4dcbSJay val sec_meet_vec = Wire(Vec(2, Bool())) 434b1ded4e8Sguohongyu val s2_fixed_hit_vec = VecInit((0 until 2).map(i => s2_port_hit(i) || s2_prefetch_hit(i) || sec_meet_vec(i))) 4351d8f4dcbSJay val s2_fixed_hit = (s2_valid && s2_fixed_hit_vec(0) && s2_fixed_hit_vec(1) && s2_double_line) || (s2_valid && s2_fixed_hit_vec(0) && !s2_double_line) 4361d8f4dcbSJay 437005e809bSJiuyang Liu val s2_meta_errors = RegEnable(s1_meta_errors, s1_fire) 438005e809bSJiuyang Liu val s2_data_errorBits = RegEnable(s1_data_errorBits, s1_fire) 439005e809bSJiuyang Liu val s2_data_cacheline = RegEnable(s1_data_cacheline, s1_fire) 44079b191f7SJay 44179b191f7SJay val s2_data_errors = Wire(Vec(PortNumber,Vec(nWays, Bool()))) 44279b191f7SJay 44379b191f7SJay (0 until PortNumber).map{ i => 44479b191f7SJay val read_datas = s2_data_cacheline(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeUnit.W)))) 44579b191f7SJay val read_codes = s2_data_errorBits(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeBits.W)))) 44679b191f7SJay val data_full_wayBits = VecInit((0 until nWays).map( w => 44779b191f7SJay VecInit((0 until dataCodeUnitNum).map(u => 44879b191f7SJay Cat(read_codes(w)(u), read_datas(w)(u)))))) 44979b191f7SJay val data_error_wayBits = VecInit((0 until nWays).map( w => 45079b191f7SJay VecInit((0 until dataCodeUnitNum).map(u => 45179b191f7SJay cacheParams.dataCode.decode(data_full_wayBits(w)(u)).error )))) 45279b191f7SJay if(i == 0){ 45379b191f7SJay (0 until nWays).map{ w => 45479b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(data_error_wayBits(w)).reduce(_||_) 45579b191f7SJay } 45679b191f7SJay } else { 45779b191f7SJay (0 until nWays).map{ w => 45879b191f7SJay s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(RegNext(s1_double_line)) && RegNext(data_error_wayBits(w)).reduce(_||_) 45979b191f7SJay } 46079b191f7SJay } 46179b191f7SJay } 46279b191f7SJay 46379b191f7SJay val s2_parity_meta_error = VecInit((0 until PortNumber).map(i => s2_meta_errors(i).reduce(_||_) && io.csr_parity_enable)) 46479b191f7SJay val s2_parity_data_error = VecInit((0 until PortNumber).map(i => s2_data_errors(i).reduce(_||_) && io.csr_parity_enable)) 46579b191f7SJay val s2_parity_error = VecInit((0 until PortNumber).map(i => RegNext(s2_parity_meta_error(i)) || s2_parity_data_error(i))) 46679b191f7SJay 46779b191f7SJay for(i <- 0 until PortNumber){ 468e8e4462cSJay io.errors(i).valid := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 469e8e4462cSJay io.errors(i).report_to_beu := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire))) 47079b191f7SJay io.errors(i).paddr := RegNext(RegNext(s2_req_paddr(i))) 47179b191f7SJay io.errors(i).source := DontCare 47279b191f7SJay io.errors(i).source.tag := RegNext(RegNext(s2_parity_meta_error(i))) 47379b191f7SJay io.errors(i).source.data := RegNext(s2_parity_data_error(i)) 47479b191f7SJay io.errors(i).source.l2 := false.B 47579b191f7SJay io.errors(i).opType := DontCare 47679b191f7SJay io.errors(i).opType.fetch := true.B 47779b191f7SJay } 478e8e4462cSJay XSError(s2_parity_error.reduce(_||_) && RegNext(RegNext(s1_fire)), "ICache has parity error in MainPaipe!") 47979b191f7SJay 48079b191f7SJay 4812a25dbb4SJay /** exception and pmp logic **/ 482cb6e5d3cSssszwic val s2_tlb_valid = VecInit((0 until PortNumber).map(i => ValidHold(s1_tlb_valid(i) && s1_fire, s2_fire, false.B))) 483cb6e5d3cSssszwic val pmpExcpAF = VecInit(Seq(fromPMP(0).instr && s2_tlb_valid(0), fromPMP(1).instr && s2_double_line && s2_tlb_valid(1))) 484cb6e5d3cSssszwic // exception information and mmio 485227f2b93SJenius // short delay exception signal 486cb6e5d3cSssszwic val s2_except_tlb_pf = RegEnable(tlbExcpPF, s1_fire) 487227f2b93SJenius val s2_except_tlb_af = RegEnable(tlbExcpAF, s1_fire) 488227f2b93SJenius // long delay exception signal 489227f2b93SJenius val s2_except_pmp_af = DataHoldBypass(pmpExcpAF, RegNext(s1_fire)) 490227f2b93SJenius 491cb6e5d3cSssszwic val s2_except = VecInit(Seq(s2_except_tlb_pf(0) || s2_except_tlb_af(0), s2_double_line && (s2_except_tlb_pf(1) || s2_except_tlb_af(1)))) 492cb6e5d3cSssszwic val s2_has_except = s2_valid && s2_except.reduce(_||_) 493cb6e5d3cSssszwic val s2_mmio = s2_valid && DataHoldBypass(io.pmp(0).resp.mmio && !s2_except(0) && !s2_except_pmp_af(0), RegNext(s1_fire)).asBool() 494cb6e5d3cSssszwic // pmp port 4951d8f4dcbSJay io.pmp.zipWithIndex.map { case (p, i) => 496de7689fcSJay p.req.valid := s2_valid && !missSwitchBit 4971d8f4dcbSJay p.req.bits.addr := s2_req_paddr(i) 4981d8f4dcbSJay p.req.bits.size := 3.U // TODO 4991d8f4dcbSJay p.req.bits.cmd := TlbCmd.exec 5001d8f4dcbSJay } 5011d8f4dcbSJay 5021d8f4dcbSJay /*** cacheline miss logic ***/ 503227f2b93SJenius val wait_idle :: wait_queue_ready :: wait_send_req :: wait_two_resp :: wait_0_resp :: wait_1_resp :: wait_one_resp ::wait_finish :: wait_pmp_except :: Nil = Enum(9) 5041d8f4dcbSJay val wait_state = RegInit(wait_idle) 5051d8f4dcbSJay 506b1ded4e8Sguohongyu// val port_miss_fix = VecInit(Seq(fromMSHR(0).fire() && !s2_port_hit(0), fromMSHR(1).fire() && s2_double_line && !s2_port_hit(1) )) 5071d8f4dcbSJay 50858dbdfc2SJay // secondary miss record registers 5092a3050c2SJay class MissSlot(implicit p: Parameters) extends ICacheBundle { 5101d8f4dcbSJay val m_vSetIdx = UInt(idxBits.W) 5111d8f4dcbSJay val m_pTag = UInt(tagBits.W) 5121d8f4dcbSJay val m_data = UInt(blockBits.W) 51358dbdfc2SJay val m_corrupt = Bool() 5141d8f4dcbSJay } 5151d8f4dcbSJay 5161d8f4dcbSJay val missSlot = Seq.fill(2)(RegInit(0.U.asTypeOf(new MissSlot))) 5171d8f4dcbSJay val m_invalid :: m_valid :: m_refilled :: m_flushed :: m_wait_sec_miss :: m_check_final ::Nil = Enum(6) 5181d8f4dcbSJay val missStateQueue = RegInit(VecInit(Seq.fill(2)(m_invalid)) ) 5191d8f4dcbSJay val reservedRefillData = Wire(Vec(2, UInt(blockBits.W))) 5201d8f4dcbSJay 5211d8f4dcbSJay s2_miss_available := VecInit(missStateQueue.map(entry => entry === m_invalid || entry === m_wait_sec_miss)).reduce(_&&_) 5221d8f4dcbSJay 523cb6e5d3cSssszwic // check miss slot 5241d8f4dcbSJay val fix_sec_miss = Wire(Vec(4, Bool())) 5251d8f4dcbSJay val sec_meet_0_miss = fix_sec_miss(0) || fix_sec_miss(2) 5261d8f4dcbSJay val sec_meet_1_miss = fix_sec_miss(1) || fix_sec_miss(3) 5271d8f4dcbSJay sec_meet_vec := VecInit(Seq(sec_meet_0_miss, sec_meet_1_miss)) 5281d8f4dcbSJay 5292a3050c2SJay /*** miss/hit pattern: <Control Signal> only raise at the first cycle of s2_valid ***/ 530b1ded4e8Sguohongyu val cacheline_0_hit = (s2_port_hit(0) || s2_prefetch_hit(0) || sec_meet_0_miss) 531b1ded4e8Sguohongyu val cacheline_0_miss = !s2_port_hit(0) && !s2_prefetch_hit(0) && !sec_meet_0_miss 5321d8f4dcbSJay 533b1ded4e8Sguohongyu val cacheline_1_hit = (s2_port_hit(1) || s2_prefetch_hit(1) || sec_meet_1_miss) 534b1ded4e8Sguohongyu val cacheline_1_miss = !s2_port_hit(1) && !s2_prefetch_hit(1) && !sec_meet_1_miss 53542b952e2SJay 53642b952e2SJay val only_0_miss = RegNext(s1_fire) && cacheline_0_miss && !s2_double_line && !s2_has_except && !s2_mmio 53742b952e2SJay val only_0_hit = RegNext(s1_fire) && cacheline_0_hit && !s2_double_line && !s2_mmio 53842b952e2SJay val hit_0_hit_1 = RegNext(s1_fire) && cacheline_0_hit && cacheline_1_hit && s2_double_line && !s2_mmio 53942b952e2SJay val hit_0_miss_1 = RegNext(s1_fire) && cacheline_0_hit && cacheline_1_miss && s2_double_line && !s2_has_except && !s2_mmio 54042b952e2SJay val miss_0_hit_1 = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_hit && s2_double_line && !s2_has_except && !s2_mmio 54142b952e2SJay val miss_0_miss_1 = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_miss && s2_double_line && !s2_has_except && !s2_mmio 54242b952e2SJay 54342b952e2SJay val hit_0_except_1 = RegNext(s1_fire) && s2_double_line && !s2_except(0) && s2_except(1) && cacheline_0_hit 54442b952e2SJay val miss_0_except_1 = RegNext(s1_fire) && s2_double_line && !s2_except(0) && s2_except(1) && cacheline_0_miss 5451d8f4dcbSJay val except_0 = RegNext(s1_fire) && s2_except(0) 5461d8f4dcbSJay 5472a3050c2SJay /*** miss/hit pattern latch: <Control Signal> latch the miss/hit patter if pipeline stop ***/ 5481d8f4dcbSJay val only_0_miss_latch = holdReleaseLatch(valid = only_0_miss, release = s2_fire, flush = false.B) 5491d8f4dcbSJay val only_0_hit_latch = holdReleaseLatch(valid = only_0_hit, release = s2_fire, flush = false.B) 5501d8f4dcbSJay val hit_0_hit_1_latch = holdReleaseLatch(valid = hit_0_hit_1, release = s2_fire, flush = false.B) 551cb6e5d3cSssszwic val hit_0_miss_1_latch = holdReleaseLatch(valid = hit_0_miss_1, release = s2_fire, flush = false.B) 552cb6e5d3cSssszwic val miss_0_hit_1_latch = holdReleaseLatch(valid = miss_0_hit_1, release = s2_fire, flush = false.B) 553cb6e5d3cSssszwic val miss_0_miss_1_latch = holdReleaseLatch(valid = miss_0_miss_1, release = s2_fire, flush = false.B) 5541d8f4dcbSJay 555cb6e5d3cSssszwic val hit_0_except_1_latch = holdReleaseLatch(valid = hit_0_except_1, release = s2_fire, flush = false.B) 556cb6e5d3cSssszwic val miss_0_except_1_latch = holdReleaseLatch(valid = miss_0_except_1, release = s2_fire, flush = false.B) 557cb6e5d3cSssszwic val except_0_latch = holdReleaseLatch(valid = except_0, release = s2_fire, flush = false.B) 5581d8f4dcbSJay 5591c746d3aScui fliter /*** secondary miss judgment ***/ 5601d8f4dcbSJay def waitSecondComeIn(missState: UInt): Bool = (missState === m_wait_sec_miss) 5611d8f4dcbSJay 5621d8f4dcbSJay def getMissSituat(slotNum : Int, missNum : Int ) :Bool = { 563227f2b93SJenius RegNext(s1_fire) && 564227f2b93SJenius RegNext(missSlot(slotNum).m_vSetIdx === s1_req_vsetIdx(missNum)) && 565227f2b93SJenius RegNext(missSlot(slotNum).m_pTag === s1_req_ptags(missNum)) && 566b1ded4e8Sguohongyu !s2_port_hit(missNum) && !s2_prefetch_hit(missNum) && 567227f2b93SJenius waitSecondComeIn(missStateQueue(slotNum)) 5681d8f4dcbSJay } 5691d8f4dcbSJay 570cb6e5d3cSssszwic /*** compare new req and last req saved in miss slot ***/ 5711d8f4dcbSJay val miss_0_s2_0 = getMissSituat(slotNum = 0, missNum = 0) 5721d8f4dcbSJay val miss_0_s2_1 = getMissSituat(slotNum = 0, missNum = 1) 5731d8f4dcbSJay val miss_1_s2_0 = getMissSituat(slotNum = 1, missNum = 0) 5741d8f4dcbSJay val miss_1_s2_1 = getMissSituat(slotNum = 1, missNum = 1) 5751d8f4dcbSJay 5761d8f4dcbSJay val miss_0_s2_0_latch = holdReleaseLatch(valid = miss_0_s2_0, release = s2_fire, flush = false.B) 5771d8f4dcbSJay val miss_0_s2_1_latch = holdReleaseLatch(valid = miss_0_s2_1, release = s2_fire, flush = false.B) 5781d8f4dcbSJay val miss_1_s2_0_latch = holdReleaseLatch(valid = miss_1_s2_0, release = s2_fire, flush = false.B) 5791d8f4dcbSJay val miss_1_s2_1_latch = holdReleaseLatch(valid = miss_1_s2_1, release = s2_fire, flush = false.B) 5801d8f4dcbSJay 5811d8f4dcbSJay val slot_0_solve = fix_sec_miss(0) || fix_sec_miss(1) 5821d8f4dcbSJay val slot_1_solve = fix_sec_miss(2) || fix_sec_miss(3) 5831d8f4dcbSJay val slot_slove = VecInit(Seq(slot_0_solve, slot_1_solve)) 5841d8f4dcbSJay fix_sec_miss := VecInit(Seq(miss_0_s2_0_latch, miss_0_s2_1_latch, miss_1_s2_0_latch, miss_1_s2_1_latch)) 5851d8f4dcbSJay 58658dbdfc2SJay /*** reserved data for secondary miss ***/ 5871d8f4dcbSJay reservedRefillData(0) := DataHoldBypass(data = missSlot(0).m_data, valid = miss_0_s2_0 || miss_0_s2_1) 5881d8f4dcbSJay reservedRefillData(1) := DataHoldBypass(data = missSlot(1).m_data, valid = miss_1_s2_0 || miss_1_s2_1) 5891d8f4dcbSJay 59058dbdfc2SJay /*** miss state machine ***/ 591a61aefd2SJenius 592a61aefd2SJenius //deal with not-cache-hit pmp af 593a61aefd2SJenius val only_pmp_af = Wire(Vec(2, Bool())) 594a61aefd2SJenius only_pmp_af(0) := s2_except_pmp_af(0) && cacheline_0_miss && !s2_except(0) && s2_valid 595a61aefd2SJenius only_pmp_af(1) := s2_except_pmp_af(1) && cacheline_1_miss && !s2_except(1) && s2_valid && s2_double_line 59658dbdfc2SJay 5971d8f4dcbSJay switch(wait_state){ 5981d8f4dcbSJay is(wait_idle){ 5994a9944cbSJenius when(only_pmp_af(0) || only_pmp_af(1) || s2_mmio){ 600227f2b93SJenius //should not send req to MissUnit when there is an access exception in PMP 601227f2b93SJenius //But to avoid using pmp exception in control signal (like s2_fire), should delay 1 cycle. 602227f2b93SJenius //NOTE: pmp exception cache line also could hit in ICache, but the result is meaningless. Just give the exception signals. 603227f2b93SJenius wait_state := wait_finish 604227f2b93SJenius }.elsewhen(miss_0_except_1_latch){ 6051d8f4dcbSJay wait_state := Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle ) 6061d8f4dcbSJay }.elsewhen(only_0_miss_latch || miss_0_hit_1_latch){ 6071d8f4dcbSJay wait_state := Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle ) 6081d8f4dcbSJay }.elsewhen(hit_0_miss_1_latch){ 6091d8f4dcbSJay wait_state := Mux(toMSHR(1).ready, wait_queue_ready ,wait_idle ) 6101d8f4dcbSJay }.elsewhen(miss_0_miss_1_latch ){ 6111d8f4dcbSJay wait_state := Mux(toMSHR(0).ready && toMSHR(1).ready, wait_queue_ready ,wait_idle) 6121d8f4dcbSJay } 6131d8f4dcbSJay } 6141d8f4dcbSJay 6151d8f4dcbSJay is(wait_queue_ready){ 6161d8f4dcbSJay wait_state := wait_send_req 6171d8f4dcbSJay } 6181d8f4dcbSJay 6191d8f4dcbSJay is(wait_send_req) { 6201d8f4dcbSJay when(miss_0_except_1_latch || only_0_miss_latch || hit_0_miss_1_latch || miss_0_hit_1_latch){ 6211d8f4dcbSJay wait_state := wait_one_resp 6221d8f4dcbSJay }.elsewhen( miss_0_miss_1_latch ){ 6231d8f4dcbSJay wait_state := wait_two_resp 6241d8f4dcbSJay } 6251d8f4dcbSJay } 6261d8f4dcbSJay 6271d8f4dcbSJay is(wait_one_resp) { 6281d8f4dcbSJay when( (miss_0_except_1_latch ||only_0_miss_latch || miss_0_hit_1_latch) && fromMSHR(0).fire()){ 6291d8f4dcbSJay wait_state := wait_finish 6301d8f4dcbSJay }.elsewhen( hit_0_miss_1_latch && fromMSHR(1).fire()){ 6311d8f4dcbSJay wait_state := wait_finish 6321d8f4dcbSJay } 6331d8f4dcbSJay } 6341d8f4dcbSJay 6351d8f4dcbSJay is(wait_two_resp) { 6361d8f4dcbSJay when(fromMSHR(0).fire() && fromMSHR(1).fire()){ 6371d8f4dcbSJay wait_state := wait_finish 6381d8f4dcbSJay }.elsewhen( !fromMSHR(0).fire() && fromMSHR(1).fire() ){ 6391d8f4dcbSJay wait_state := wait_0_resp 6401d8f4dcbSJay }.elsewhen(fromMSHR(0).fire() && !fromMSHR(1).fire()){ 6411d8f4dcbSJay wait_state := wait_1_resp 6421d8f4dcbSJay } 6431d8f4dcbSJay } 6441d8f4dcbSJay 6451d8f4dcbSJay is(wait_0_resp) { 6461d8f4dcbSJay when(fromMSHR(0).fire()){ 6471d8f4dcbSJay wait_state := wait_finish 6481d8f4dcbSJay } 6491d8f4dcbSJay } 6501d8f4dcbSJay 6511d8f4dcbSJay is(wait_1_resp) { 6521d8f4dcbSJay when(fromMSHR(1).fire()){ 6531d8f4dcbSJay wait_state := wait_finish 6541d8f4dcbSJay } 6551d8f4dcbSJay } 6561d8f4dcbSJay 6572a25dbb4SJay is(wait_finish) {when(s2_fire) {wait_state := wait_idle } 6581d8f4dcbSJay } 6591d8f4dcbSJay } 6601d8f4dcbSJay 6611d8f4dcbSJay 66258dbdfc2SJay /*** send request to MissUnit ***/ 66358dbdfc2SJay 6641d8f4dcbSJay (0 until 2).map { i => 6651d8f4dcbSJay if(i == 1) toMSHR(i).valid := (hit_0_miss_1_latch || miss_0_miss_1_latch) && wait_state === wait_queue_ready && !s2_mmio 6661d8f4dcbSJay else toMSHR(i).valid := (only_0_miss_latch || miss_0_hit_1_latch || miss_0_miss_1_latch || miss_0_except_1_latch) && wait_state === wait_queue_ready && !s2_mmio 6671d8f4dcbSJay toMSHR(i).bits.paddr := s2_req_paddr(i) 6681d8f4dcbSJay toMSHR(i).bits.vaddr := s2_req_vaddr(i) 6691d8f4dcbSJay toMSHR(i).bits.waymask := s2_waymask(i) 6701d8f4dcbSJay 6711d8f4dcbSJay 6721d8f4dcbSJay when(toMSHR(i).fire() && missStateQueue(i) === m_invalid){ 6731d8f4dcbSJay missStateQueue(i) := m_valid 6741d8f4dcbSJay missSlot(i).m_vSetIdx := s2_req_vsetIdx(i) 6751d8f4dcbSJay missSlot(i).m_pTag := get_phy_tag(s2_req_paddr(i)) 6761d8f4dcbSJay } 6771d8f4dcbSJay 6781d8f4dcbSJay when(fromMSHR(i).fire() && missStateQueue(i) === m_valid ){ 6791d8f4dcbSJay missStateQueue(i) := m_refilled 6801d8f4dcbSJay missSlot(i).m_data := fromMSHR(i).bits.data 68158dbdfc2SJay missSlot(i).m_corrupt := fromMSHR(i).bits.corrupt 6821d8f4dcbSJay } 6831d8f4dcbSJay 6841d8f4dcbSJay 6851d8f4dcbSJay when(s2_fire && missStateQueue(i) === m_refilled){ 6861d8f4dcbSJay missStateQueue(i) := m_wait_sec_miss 6871d8f4dcbSJay } 6881d8f4dcbSJay 6892a3050c2SJay /*** Only the first cycle to check whether meet the secondary miss ***/ 6901d8f4dcbSJay when(missStateQueue(i) === m_wait_sec_miss){ 6912a3050c2SJay /*** The seondary req has been fix by this slot and another also hit || the secondary req for other cacheline and hit ***/ 6921d8f4dcbSJay when((slot_slove(i) && s2_fire) || (!slot_slove(i) && s2_fire) ) { 6931d8f4dcbSJay missStateQueue(i) := m_invalid 6941d8f4dcbSJay } 6952a3050c2SJay /*** The seondary req has been fix by this slot but another miss/f3 not ready || the seondary req for other cacheline and miss ***/ 6961d8f4dcbSJay .elsewhen((slot_slove(i) && !s2_fire && s2_valid) || (s2_valid && !slot_slove(i) && !s2_fire) ){ 6971d8f4dcbSJay missStateQueue(i) := m_check_final 6981d8f4dcbSJay } 6991d8f4dcbSJay } 7001d8f4dcbSJay 7011d8f4dcbSJay when(missStateQueue(i) === m_check_final && toMSHR(i).fire()){ 7021d8f4dcbSJay missStateQueue(i) := m_valid 7031d8f4dcbSJay missSlot(i).m_vSetIdx := s2_req_vsetIdx(i) 7041d8f4dcbSJay missSlot(i).m_pTag := get_phy_tag(s2_req_paddr(i)) 7051d8f4dcbSJay }.elsewhen(missStateQueue(i) === m_check_final) { 7061d8f4dcbSJay missStateQueue(i) := m_invalid 7071d8f4dcbSJay } 7081d8f4dcbSJay } 7091d8f4dcbSJay 7107052722fSJay when(toMSHR.map(_.valid).reduce(_||_)){ 7117052722fSJay missSwitchBit := true.B 7127052722fSJay }.elsewhen(missSwitchBit && s2_fetch_finish){ 7137052722fSJay missSwitchBit := false.B 7147052722fSJay } 7157052722fSJay 716974a902cSguohongyu (0 until PortNumber).foreach{ 717974a902cSguohongyu i => 718cb6e5d3cSssszwic mainPipeMissInfo(i).valid := missStateQueue(i) =/= m_invalid 719cb6e5d3cSssszwic mainPipeMissInfo(i).bits.vSetIdx := missSlot(i).m_vSetIdx 720cb6e5d3cSssszwic mainPipeMissInfo(i).bits.ptage := missSlot(i).m_pTag 721974a902cSguohongyu } 722974a902cSguohongyu 723a8fabd82SJenius val miss_all_fix = wait_state === wait_finish 724227f2b93SJenius 725227f2b93SJenius s2_fetch_finish := ((s2_valid && s2_fixed_hit) || miss_all_fix || hit_0_except_1_latch || except_0_latch) 7261d8f4dcbSJay 72758dbdfc2SJay /** update replacement status register: 0 is hit access/ 1 is miss access */ 7281d8f4dcbSJay (touch_ways zip touch_sets).zipWithIndex.map{ case((t_w,t_s), i) => 7295b0cc873Sguohongyu t_s(0) := s2_req_vsetIdx(i)(highestIdxBit, 1) 73061e1db30SJay t_w(0).valid := s2_valid && s2_port_hit(i) 73161e1db30SJay t_w(0).bits := OHToUInt(s2_tag_match_vec(i)) 7321d8f4dcbSJay 7335b0cc873Sguohongyu t_s(1) := s2_req_vsetIdx(i)(highestIdxBit, 1) 7341d8f4dcbSJay t_w(1).valid := s2_valid && !s2_port_hit(i) 7351d8f4dcbSJay t_w(1).bits := OHToUInt(s2_waymask(i)) 7361d8f4dcbSJay } 7371d8f4dcbSJay 7383fbf8eafSJenius //** use hit one-hot select data 739cb6e5d3cSssszwic val s2_hit_datas = VecInit(s2_data_cacheline.zipWithIndex.map { case(bank, i) => 740cb6e5d3cSssszwic val port_hit_data = Mux1H(s2_tag_match_vec(i).asUInt, bank) 7413fbf8eafSJenius port_hit_data 7423fbf8eafSJenius }) 7433fbf8eafSJenius 744dc270d3bSJenius val s2_register_datas = Wire(Vec(2, UInt(blockBits.W))) 7451d8f4dcbSJay 746dc270d3bSJenius s2_register_datas.zipWithIndex.map{case(bank,i) => 747dc270d3bSJenius // if(i == 0) bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data))) 748dc270d3bSJenius // else bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data))) 749dc270d3bSJenius if(i == 0) bank := Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data)) 750dc270d3bSJenius else bank := Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data)) 7511d8f4dcbSJay } 7521d8f4dcbSJay 75358dbdfc2SJay /** response to IFU */ 7541d8f4dcbSJay 7551d8f4dcbSJay (0 until PortNumber).map{ i => 7561d8f4dcbSJay if(i ==0) toIFU(i).valid := s2_fire 7571d8f4dcbSJay else toIFU(i).valid := s2_fire && s2_double_line 758dc270d3bSJenius //when select is high, use sramData. Otherwise, use registerData. 759dc270d3bSJenius toIFU(i).bits.registerData := s2_register_datas(i) 760b1ded4e8Sguohongyu toIFU(i).bits.sramData := Mux(s2_port_hit(i), s2_hit_datas(i), s2_prefetch_hit_data(i)) 761b1ded4e8Sguohongyu toIFU(i).bits.select := s2_port_hit(i) || s2_prefetch_hit(i) 7621d8f4dcbSJay toIFU(i).bits.paddr := s2_req_paddr(i) 7631d8f4dcbSJay toIFU(i).bits.vaddr := s2_req_vaddr(i) 764cb6e5d3cSssszwic toIFU(i).bits.tlbExcp.pageFault := s2_except_tlb_pf(i) 765227f2b93SJenius toIFU(i).bits.tlbExcp.accessFault := s2_except_tlb_af(i) || missSlot(i).m_corrupt || s2_except_pmp_af(i) 766227f2b93SJenius toIFU(i).bits.tlbExcp.mmio := s2_mmio 7679ef181f4SWilliam Wang 7689ef181f4SWilliam Wang when(RegNext(s2_fire && missSlot(i).m_corrupt)){ 7699ef181f4SWilliam Wang io.errors(i).valid := true.B 7700f59c834SWilliam Wang io.errors(i).report_to_beu := false.B // l2 should have report that to bus error unit, no need to do it again 7710f59c834SWilliam Wang io.errors(i).paddr := RegNext(s2_req_paddr(i)) 7729ef181f4SWilliam Wang io.errors(i).source.tag := false.B 7739ef181f4SWilliam Wang io.errors(i).source.data := false.B 7749ef181f4SWilliam Wang io.errors(i).source.l2 := true.B 7759ef181f4SWilliam Wang } 7761d8f4dcbSJay } 777d2b20d1aSTang Haojin io.fetch.topdownIcacheMiss := !s2_hit 778d2b20d1aSTang Haojin io.fetch.topdownItlbMiss := itlbMissStage(0) 779d2b20d1aSTang Haojin 780b1ded4e8Sguohongyu (0 until 2).map {i => 781d4112e88Sguohongyu XSPerfAccumulate("port_" + i + "_only_hit_in_ipf", !s2_port_hit(i) && s2_prefetch_hit(i) && s2_fire) 782b1ded4e8Sguohongyu } 783b1ded4e8Sguohongyu 784b1ded4e8Sguohongyu /** s2 mainPipe miss info */ 785cb6e5d3cSssszwic // mainPipeMissInfo.s2_miss_info(0).valid := s2_valid && (miss_0_hit_1_latch || miss_0_miss_1_latch || only_0_miss_latch || miss_0_except_1_latch) && !except_0_latch 786cb6e5d3cSssszwic // mainPipeMissInfo.s2_miss_info(1).valid := s2_valid && (miss_0_miss_1_latch || hit_0_miss_1_latch) 787cb6e5d3cSssszwic // (0 until 2).foreach { i => 788cb6e5d3cSssszwic // mainPipeMissInfo.s2_miss_info(i).bits.vSetIdx := s2_req_vsetIdx(i) 789cb6e5d3cSssszwic // mainPipeMissInfo.s2_miss_info(i).bits.ptage := s2_req_ptags(i) 790cb6e5d3cSssszwic // } 7911d8f4dcbSJay 792a108d429SJay io.perfInfo.only_0_hit := only_0_hit_latch 7931d8f4dcbSJay io.perfInfo.only_0_miss := only_0_miss_latch 7941d8f4dcbSJay io.perfInfo.hit_0_hit_1 := hit_0_hit_1_latch 7951d8f4dcbSJay io.perfInfo.hit_0_miss_1 := hit_0_miss_1_latch 7961d8f4dcbSJay io.perfInfo.miss_0_hit_1 := miss_0_hit_1_latch 7971d8f4dcbSJay io.perfInfo.miss_0_miss_1 := miss_0_miss_1_latch 798a108d429SJay io.perfInfo.hit_0_except_1 := hit_0_except_1_latch 799a108d429SJay io.perfInfo.miss_0_except_1 := miss_0_except_1_latch 800a108d429SJay io.perfInfo.except_0 := except_0_latch 8011d8f4dcbSJay io.perfInfo.bank_hit(0) := only_0_miss_latch || hit_0_hit_1_latch || hit_0_miss_1_latch || hit_0_except_1_latch 8021d8f4dcbSJay io.perfInfo.bank_hit(1) := miss_0_hit_1_latch || hit_0_hit_1_latch 803a108d429SJay io.perfInfo.hit := hit_0_hit_1_latch || only_0_hit_latch || hit_0_except_1_latch || except_0_latch 80458dbdfc2SJay 80558dbdfc2SJay /** <PERF> fetch bubble generated by icache miss*/ 80658dbdfc2SJay 80700240ba6SJay XSPerfAccumulate("icache_bubble_s2_miss", s2_valid && !s2_fetch_finish ) 80858dbdfc2SJay 809cb6e5d3cSssszwic // TODO: this perf is wrong! 810eb163ef0SHaojin Tang val tlb_miss_vec = VecInit((0 until PortNumber).map(i => toITLB(i).valid && s0_can_go && fromITLB(i).bits.miss)) 811eb163ef0SHaojin Tang val tlb_has_miss = tlb_miss_vec.reduce(_ || _) 812eb163ef0SHaojin Tang XSPerfAccumulate("icache_bubble_s0_tlb_miss", s0_valid && tlb_has_miss ) 8135470b21eSguohongyu 814afa866b1Sguohongyu if (env.EnableDifftest) { 815afa866b1Sguohongyu val discards = (0 until PortNumber).map { i => 816afa866b1Sguohongyu val discard = toIFU(i).bits.tlbExcp.pageFault || toIFU(i).bits.tlbExcp.accessFault || toIFU(i).bits.tlbExcp.mmio 817afa866b1Sguohongyu discard 818afa866b1Sguohongyu } 819afa866b1Sguohongyu (0 until PortNumber).map { i => 820*a0c65233SYinan Xu val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true) 8217d45a146SYinan Xu diffMainPipeOut.coreid := io.hartId 8227d45a146SYinan Xu diffMainPipeOut.index := (4 + i).U 8237d45a146SYinan Xu if (i == 0) diffMainPipeOut.valid := s2_fire && !discards(0) 8247d45a146SYinan Xu else diffMainPipeOut.valid := s2_fire && s2_double_line && !discards(0) && !discards(1) 8257d45a146SYinan Xu diffMainPipeOut.addr := s2_req_paddr(i) 826afa866b1Sguohongyu when (toIFU(i).bits.select.asBool) { 8277d45a146SYinan Xu diffMainPipeOut.data := toIFU(i).bits.sramData.asTypeOf(diffMainPipeOut.data) 828afa866b1Sguohongyu } .otherwise { 8297d45a146SYinan Xu diffMainPipeOut.data := toIFU(i).bits.registerData.asTypeOf(diffMainPipeOut.data) 830afa866b1Sguohongyu } 831afa866b1Sguohongyu // idtfr: 1 -> data from icache 2 -> data from ipf 3 -> data from piq 4 -> data from missUnit 8327d45a146SYinan Xu when (s2_port_hit(i)) { diffMainPipeOut.idtfr := 1.U } 833afa866b1Sguohongyu .elsewhen(s2_prefetch_hit(i)) { 8347d45a146SYinan Xu when (s2_prefetch_hit_in_ipf(i)) { diffMainPipeOut.idtfr := 2.U } 8357d45a146SYinan Xu .elsewhen(s2_prefetch_hit_in_piq(i)) { diffMainPipeOut.idtfr := 3.U } 8365727817bSguohongyu .otherwise { XSWarn(true.B, "should not in this situation\n")} 837afa866b1Sguohongyu } 8387d45a146SYinan Xu .otherwise { diffMainPipeOut.idtfr := 4.U } 839afa866b1Sguohongyu diffMainPipeOut 840afa866b1Sguohongyu } 841afa866b1Sguohongyu } 8421d8f4dcbSJay} 843