xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICacheMainPipe.scala (revision 58c354d08d5c711e1804eeb891bc17d0545d2238)
11d8f4dcbSJay/***************************************************************************************
21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
41d8f4dcbSJay*
51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
81d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
91d8f4dcbSJay*
101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131d8f4dcbSJay*
141d8f4dcbSJay* See the Mulan PSL v2 for more details.
151d8f4dcbSJay***************************************************************************************/
161d8f4dcbSJay
171d8f4dcbSJaypackage xiangshan.frontend.icache
181d8f4dcbSJay
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
201d8f4dcbSJayimport chisel3._
211d8f4dcbSJayimport chisel3.util._
227d45a146SYinan Xuimport difftest._
231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates
241d8f4dcbSJayimport xiangshan._
251d8f4dcbSJayimport xiangshan.cache.mmu._
261d8f4dcbSJayimport utils._
273c02ee8fSwakafaimport utility._
281d8f4dcbSJayimport xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
29f22cf846SJeniusimport xiangshan.frontend.{FtqICacheInfo, FtqToICacheRequestBundle}
301d8f4dcbSJay
311d8f4dcbSJayclass ICacheMainPipeReq(implicit p: Parameters) extends ICacheBundle
321d8f4dcbSJay{
331d8f4dcbSJay  val vaddr  = UInt(VAddrBits.W)
341d8f4dcbSJay  def vsetIdx = get_idx(vaddr)
351d8f4dcbSJay}
361d8f4dcbSJay
371d8f4dcbSJayclass ICacheMainPipeResp(implicit p: Parameters) extends ICacheBundle
381d8f4dcbSJay{
391d8f4dcbSJay  val vaddr    = UInt(VAddrBits.W)
40dc270d3bSJenius  val registerData = UInt(blockBits.W)
41dc270d3bSJenius  val sramData = UInt(blockBits.W)
42dc270d3bSJenius  val select   = Bool()
431d8f4dcbSJay  val paddr    = UInt(PAddrBits.W)
441d8f4dcbSJay  val tlbExcp  = new Bundle{
451d8f4dcbSJay    val pageFault = Bool()
461d8f4dcbSJay    val accessFault = Bool()
471d8f4dcbSJay    val mmio = Bool()
481d8f4dcbSJay  }
491d8f4dcbSJay}
501d8f4dcbSJay
511d8f4dcbSJayclass ICacheMainPipeBundle(implicit p: Parameters) extends ICacheBundle
521d8f4dcbSJay{
53c5c5edaeSJenius  val req  = Flipped(Decoupled(new FtqToICacheRequestBundle))
54c5c5edaeSJenius  val resp = Vec(PortNumber, ValidIO(new ICacheMainPipeResp))
55d2b20d1aSTang Haojin  val topdownIcacheMiss = Output(Bool())
56d2b20d1aSTang Haojin  val topdownItlbMiss = Output(Bool())
571d8f4dcbSJay}
581d8f4dcbSJay
591d8f4dcbSJayclass ICacheMetaReqBundle(implicit p: Parameters) extends ICacheBundle{
60afed18b5SJenius  val toIMeta       = DecoupledIO(new ICacheReadBundle)
611d8f4dcbSJay  val fromIMeta     = Input(new ICacheMetaRespBundle)
621d8f4dcbSJay}
631d8f4dcbSJay
641d8f4dcbSJayclass ICacheDataReqBundle(implicit p: Parameters) extends ICacheBundle{
652da4ac8cSJenius  val toIData       = DecoupledIO(Vec(partWayNum, new ICacheReadBundle))
661d8f4dcbSJay  val fromIData     = Input(new ICacheDataRespBundle)
671d8f4dcbSJay}
681d8f4dcbSJay
691d8f4dcbSJayclass ICacheMSHRBundle(implicit p: Parameters) extends ICacheBundle{
701d8f4dcbSJay  val toMSHR        = Decoupled(new ICacheMissReq)
711d8f4dcbSJay  val fromMSHR      = Flipped(ValidIO(new ICacheMissResp))
721d8f4dcbSJay}
731d8f4dcbSJay
741d8f4dcbSJayclass ICachePMPBundle(implicit p: Parameters) extends ICacheBundle{
751d8f4dcbSJay  val req  = Valid(new PMPReqBundle())
761d8f4dcbSJay  val resp = Input(new PMPRespBundle())
771d8f4dcbSJay}
781d8f4dcbSJay
791d8f4dcbSJayclass ICachePerfInfo(implicit p: Parameters) extends ICacheBundle{
801d8f4dcbSJay  val only_0_hit     = Bool()
811d8f4dcbSJay  val only_0_miss    = Bool()
821d8f4dcbSJay  val hit_0_hit_1    = Bool()
831d8f4dcbSJay  val hit_0_miss_1   = Bool()
841d8f4dcbSJay  val miss_0_hit_1   = Bool()
851d8f4dcbSJay  val miss_0_miss_1  = Bool()
86a108d429SJay  val hit_0_except_1 = Bool()
87a108d429SJay  val miss_0_except_1 = Bool()
88a108d429SJay  val except_0       = Bool()
891d8f4dcbSJay  val bank_hit       = Vec(2,Bool())
901d8f4dcbSJay  val hit            = Bool()
911d8f4dcbSJay}
921d8f4dcbSJay
931d8f4dcbSJayclass ICacheMainPipeInterface(implicit p: Parameters) extends ICacheBundle {
94c2ba7c80Sguohongyu  val hartId = Input(UInt(8.W))
952a3050c2SJay  /*** internal interface ***/
961d8f4dcbSJay  val metaArray   = new ICacheMetaReqBundle
971d8f4dcbSJay  val dataArray   = new ICacheDataReqBundle
98b1ded4e8Sguohongyu  /** prefetch io */
99cb6e5d3cSssszwic  val IPFBufferRead = Flipped(new IPFBufferRead)
100cb6e5d3cSssszwic  val PIQRead       = Flipped(new PIQRead)
101cb6e5d3cSssszwic
102cb6e5d3cSssszwic  val IPFReplacer         = Flipped(new IPFReplacer)
103*58c354d0Sssszwic  val ICacheMainPipeInfo  = new ICacheMainPipeInfo
104b1ded4e8Sguohongyu
1051d8f4dcbSJay  val mshr        = Vec(PortNumber, new ICacheMSHRBundle)
10658dbdfc2SJay  val errors      = Output(Vec(PortNumber, new L1CacheErrorInfo))
1072a3050c2SJay  /*** outside interface ***/
108c5c5edaeSJenius  //val fetch       = Vec(PortNumber, new ICacheMainPipeBundle)
109c5c5edaeSJenius  /* when ftq.valid is high in T + 1 cycle
110c5c5edaeSJenius   * the ftq component must be valid in T cycle
111c5c5edaeSJenius   */
112c5c5edaeSJenius  val fetch       = new ICacheMainPipeBundle
1131d8f4dcbSJay  val pmp         = Vec(PortNumber, new ICachePMPBundle)
114f1fe8698SLemover  val itlb        = Vec(PortNumber, new TlbRequestIO)
1151d8f4dcbSJay  val respStall   = Input(Bool())
1161d8f4dcbSJay  val perfInfo = Output(new ICachePerfInfo)
11758dbdfc2SJay
118ecccf78fSJay  val csr_parity_enable = Input(Bool())
1191d8f4dcbSJay}
1201d8f4dcbSJay
1211d8f4dcbSJayclass ICacheMainPipe(implicit p: Parameters) extends ICacheModule
1221d8f4dcbSJay{
1231d8f4dcbSJay  val io = IO(new ICacheMainPipeInterface)
1241d8f4dcbSJay
12558dbdfc2SJay  /** Input/Output port */
126c5c5edaeSJenius  val (fromFtq, toIFU)    = (io.fetch.req,          io.fetch.resp)
1272a3050c2SJay  val (toMeta, metaResp)  = (io.metaArray.toIMeta,  io.metaArray.fromIMeta)
1282a3050c2SJay  val (toData, dataResp)  = (io.dataArray.toIData,  io.dataArray.fromIData)
129cb6e5d3cSssszwic  val (toIPF,  fromIPF)   = (io.IPFBufferRead.req,  io.IPFBufferRead.resp)
130cb6e5d3cSssszwic  val (toPIQ,  fromPIQ)   = (io.PIQRead.req,        io.PIQRead.resp)
1311d8f4dcbSJay  val (toMSHR, fromMSHR)  = (io.mshr.map(_.toMSHR), io.mshr.map(_.fromMSHR))
1321d8f4dcbSJay  val (toITLB, fromITLB)  = (io.itlb.map(_.req),    io.itlb.map(_.resp))
1331d8f4dcbSJay  val (toPMP,  fromPMP)   = (io.pmp.map(_.req),     io.pmp.map(_.resp))
134cb6e5d3cSssszwic
135cb6e5d3cSssszwic  val IPFReplacer         = io.IPFReplacer
136*58c354d0Sssszwic  val toIPrefetch         = io.ICacheMainPipeInfo
137*58c354d0Sssszwic
138*58c354d0Sssszwic
139*58c354d0Sssszwic  // Statistics on the frequency distribution of FTQ fire interval
140*58c354d0Sssszwic  val cntFtqFireInterval = RegInit(0.U(32.W))
141*58c354d0Sssszwic  cntFtqFireInterval := Mux(fromFtq.fire, 1.U, cntFtqFireInterval + 1.U)
142*58c354d0Sssszwic  XSPerfHistogram("ftq2icache_fire_" + p(XSCoreParamsKey).HartId.toString,
143*58c354d0Sssszwic                  cntFtqFireInterval, fromFtq.fire,
144*58c354d0Sssszwic                  1, 300, 1, right_strict = true)
145b1ded4e8Sguohongyu
146c5c5edaeSJenius  // Ftq RegNext Register
147b004fa13SJenius  val fromFtqReq = fromFtq.bits.pcMemRead
148c5c5edaeSJenius
14958dbdfc2SJay  /** pipeline control signal */
150f1fe8698SLemover  val s1_ready, s2_ready = Wire(Bool())
151f1fe8698SLemover  val s0_fire,  s1_fire , s2_fire  = Wire(Bool())
1521d8f4dcbSJay
1537052722fSJay  val missSwitchBit = RegInit(false.B)
1547052722fSJay
15558dbdfc2SJay  /** replacement status register */
15658dbdfc2SJay  val touch_sets = Seq.fill(2)(Wire(Vec(2, UInt(log2Ceil(nSets/2).W))))
15758dbdfc2SJay  val touch_ways = Seq.fill(2)(Wire(Vec(2, Valid(UInt(log2Ceil(nWays).W)))) )
15858dbdfc2SJay
1592a3050c2SJay  /**
1602a3050c2SJay    ******************************************************************************
16158dbdfc2SJay    * ICache Stage 0
16258dbdfc2SJay    * - send req to ITLB and wait for tlb miss fixing
16358dbdfc2SJay    * - send req to Meta/Data SRAM
1642a3050c2SJay    ******************************************************************************
1652a3050c2SJay    */
1662a3050c2SJay
16758dbdfc2SJay  /** s0 control */
168c5c5edaeSJenius  val s0_valid       = fromFtq.valid
169f56177cbSJenius  val s0_req_vaddr   = (0 until partWayNum + 1).map(i => VecInit(Seq(fromFtqReq(i).startAddr, fromFtqReq(i).nextlineStart)))
170f56177cbSJenius  val s0_req_vsetIdx = (0 until partWayNum + 1).map(i => VecInit(s0_req_vaddr(i).map(get_idx(_))))
171dc270d3bSJenius  val s0_only_first  = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && !fromFtqReq(i).crossCacheline)
172dc270d3bSJenius  val s0_double_line = (0 until partWayNum + 1).map(i => fromFtq.bits.readValid(i) && fromFtqReq(i).crossCacheline)
1731d8f4dcbSJay
174f1fe8698SLemover  val s0_final_valid        = s0_valid
175fd0ecf27SLingrui98  val s0_final_vaddr        = s0_req_vaddr.head
176fd0ecf27SLingrui98  val s0_final_vsetIdx      = s0_req_vsetIdx.head
177fd0ecf27SLingrui98  val s0_final_only_first   = s0_only_first.head
178fd0ecf27SLingrui98  val s0_final_double_line  = s0_double_line.head
17961e1db30SJay
18058dbdfc2SJay  /** SRAM request */
181f56177cbSJenius  //0 -> metaread, 1,2,3 -> data, 3 -> code 4 -> itlb
18238160951Sguohongyu  // TODO: it seems like 0,1,2,3 -> dataArray(data); 3 -> dataArray(code); 0 -> metaArray; 4 -> itlb
183f56177cbSJenius  val ftq_req_to_data_doubleline  = s0_double_line.init
184f56177cbSJenius  val ftq_req_to_data_vset_idx    = s0_req_vsetIdx.init
185dc270d3bSJenius  val ftq_req_to_data_valid       = fromFtq.bits.readValid.init
186f56177cbSJenius
187f56177cbSJenius  val ftq_req_to_meta_doubleline  = s0_double_line.head
188f56177cbSJenius  val ftq_req_to_meta_vset_idx    = s0_req_vsetIdx.head
189f56177cbSJenius
190f56177cbSJenius  val ftq_req_to_itlb_only_first  = s0_only_first.last
191f56177cbSJenius  val ftq_req_to_itlb_doubleline  = s0_double_line.last
192f56177cbSJenius  val ftq_req_to_itlb_vaddr       = s0_req_vaddr.last
193f56177cbSJenius  val ftq_req_to_itlb_vset_idx    = s0_req_vsetIdx.last
194f56177cbSJenius
195cb6e5d3cSssszwic  /** Data request */
196fd0ecf27SLingrui98  for(i <- 0 until partWayNum) {
197dc270d3bSJenius    toData.valid                  := ftq_req_to_data_valid(i) && !missSwitchBit
198f56177cbSJenius    toData.bits(i).isDoubleLine   := ftq_req_to_data_doubleline(i)
199f56177cbSJenius    toData.bits(i).vSetIdx        := ftq_req_to_data_vset_idx(i)
2001d8f4dcbSJay  }
201afed18b5SJenius
202cb6e5d3cSssszwic  /** Meta request */
203afed18b5SJenius  toMeta.valid               := s0_valid && !missSwitchBit
204f56177cbSJenius  toMeta.bits.isDoubleLine   := ftq_req_to_meta_doubleline
205f56177cbSJenius  toMeta.bits.vSetIdx        := ftq_req_to_meta_vset_idx
206afed18b5SJenius
207cb6e5d3cSssszwic  val toITLB_s0_valid    = VecInit(Seq(s0_valid, s0_valid && ftq_req_to_itlb_doubleline))
208cb6e5d3cSssszwic  val toITLB_s0_size     = VecInit(Seq(3.U, 3.U)) // TODO: fix the size
209cb6e5d3cSssszwic  val toITLB_s0_vaddr    = ftq_req_to_itlb_vaddr
210cb6e5d3cSssszwic  val toITLB_s0_debug_pc = ftq_req_to_itlb_vaddr
2112a3050c2SJay
212f1fe8698SLemover  val itlb_can_go    = toITLB(0).ready && toITLB(1).ready
213afed18b5SJenius  val icache_can_go  = toData.ready && toMeta.ready
214f1fe8698SLemover  val pipe_can_go    = !missSwitchBit && s1_ready
215f1fe8698SLemover  val s0_can_go      = itlb_can_go && icache_can_go && pipe_can_go
216cb6e5d3cSssszwic  s0_fire  := s0_valid && s0_can_go
2177052722fSJay
2187052722fSJay  //TODO: fix GTimer() condition
219c5c5edaeSJenius  fromFtq.ready := s0_can_go
220f1fe8698SLemover
2212a3050c2SJay  /**
2222a3050c2SJay    ******************************************************************************
22358dbdfc2SJay    * ICache Stage 1
22458dbdfc2SJay    * - get tlb resp data (exceptiong info and physical addresses)
22558dbdfc2SJay    * - get Meta/Data SRAM read responses (latched for pipeline stop)
22658dbdfc2SJay    * - tag compare/hit check
227cb6e5d3cSssszwic    * - check ipf and piq
2282a3050c2SJay    ******************************************************************************
2292a3050c2SJay    */
2301d8f4dcbSJay
23158dbdfc2SJay  /** s1 control */
232f1fe8698SLemover  val s1_valid = generatePipeControl(lastFire = s0_fire, thisFire = s1_fire, thisFlush = false.B, lastFlush = false.B)
2331d8f4dcbSJay
234005e809bSJiuyang Liu  val s1_req_vaddr   = RegEnable(s0_final_vaddr, s0_fire)
235005e809bSJiuyang Liu  val s1_req_vsetIdx = RegEnable(s0_final_vsetIdx, s0_fire)
236005e809bSJiuyang Liu  val s1_only_first  = RegEnable(s0_final_only_first, s0_fire)
237005e809bSJiuyang Liu  val s1_double_line = RegEnable(s0_final_double_line, s0_fire)
238cb6e5d3cSssszwic
239cb6e5d3cSssszwic  /** tlb request and response */
240cb6e5d3cSssszwic  fromITLB.foreach(_.ready := true.B)
241cb6e5d3cSssszwic  val s1_wait_itlb  = RegInit(VecInit(Seq.fill(PortNumber)(false.B)))
242cb6e5d3cSssszwic
243cb6e5d3cSssszwic  (0 until PortNumber).foreach { i =>
244cb6e5d3cSssszwic    when(RegNext(s0_fire) && fromITLB(i).bits.miss) {
245cb6e5d3cSssszwic      s1_wait_itlb(i) := true.B
246cb6e5d3cSssszwic    }.elsewhen(s1_wait_itlb(i) && !fromITLB(i).bits.miss) {
247cb6e5d3cSssszwic      s1_wait_itlb(i) := false.B
248cb6e5d3cSssszwic    }
249cb6e5d3cSssszwic  }
250cb6e5d3cSssszwic
251cb6e5d3cSssszwic  val s1_need_itlb = Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && fromITLB(0).bits.miss,
252cb6e5d3cSssszwic                             (RegNext(s0_fire) || s1_wait_itlb(1)) && fromITLB(1).bits.miss && s1_double_line)
253cb6e5d3cSssszwic  val toITLB_s1_valid    = s1_need_itlb
254cb6e5d3cSssszwic  val toITLB_s1_size     = VecInit(Seq(3.U, 3.U)) // TODO: fix the size
255cb6e5d3cSssszwic  val toITLB_s1_vaddr    = s1_req_vaddr
256cb6e5d3cSssszwic  val toITLB_s1_debug_pc = s1_req_vaddr
257cb6e5d3cSssszwic
258cb6e5d3cSssszwic  // chose tlb req between s0 and s1
259cb6e5d3cSssszwic  for (i <- 0 until PortNumber) {
260cb6e5d3cSssszwic    toITLB(i).valid         := Mux(s1_need_itlb(i), toITLB_s1_valid(i), toITLB_s0_valid(i))
261cb6e5d3cSssszwic    toITLB(i).bits.size     := Mux(s1_need_itlb(i), toITLB_s1_size(i), toITLB_s0_size(i))
262cb6e5d3cSssszwic    toITLB(i).bits.vaddr    := Mux(s1_need_itlb(i), toITLB_s1_vaddr(i), toITLB_s0_vaddr(i))
263cb6e5d3cSssszwic    toITLB(i).bits.debug.pc := Mux(s1_need_itlb(i), toITLB_s1_debug_pc(i), toITLB_s0_debug_pc(i))
264cb6e5d3cSssszwic  }
265cb6e5d3cSssszwic  toITLB.map{port =>
266cb6e5d3cSssszwic    port.bits.cmd                 := TlbCmd.exec
267cb6e5d3cSssszwic    port.bits.memidx              := DontCare
268cb6e5d3cSssszwic    port.bits.debug.robIdx        := DontCare
269cb6e5d3cSssszwic    port.bits.no_translate        := false.B
270cb6e5d3cSssszwic    port.bits.debug.isFirstIssue  := DontCare
271cb6e5d3cSssszwic    port.bits.kill                := DontCare
272cb6e5d3cSssszwic  }
273cb6e5d3cSssszwic  io.itlb.foreach(_.req_kill := false.B)
2741d8f4dcbSJay
27558dbdfc2SJay  /** tlb response latch for pipeline stop */
276cb6e5d3cSssszwic  // val tlb_valid_tmp = VecInit((0 until PortNumber).map(i =>
277cb6e5d3cSssszwic  //                       (RegNext(s0_fire) || s1_wait_itlb(i)) && !fromITLB(i).bits.miss))
278cb6e5d3cSssszwic  val tlb_valid_tmp = VecInit(Seq((RegNext(s0_fire) || s1_wait_itlb(0)) && !fromITLB(0).bits.miss,
279cb6e5d3cSssszwic                                  (RegNext(s0_fire) || s1_wait_itlb(1)) && !fromITLB(1).bits.miss && s1_double_line))
280cb6e5d3cSssszwic  val tlbRespPAddr  = VecInit((0 until PortNumber).map(i =>
281cb6e5d3cSssszwic                        ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.paddr(0))))
282cb6e5d3cSssszwic  val tlbExcpPF     = VecInit((0 until PortNumber).map(i =>
283cb6e5d3cSssszwic                        ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).pf.instr)))
284cb6e5d3cSssszwic  val tlbExcpAF     = VecInit((0 until PortNumber).map(i =>
285cb6e5d3cSssszwic                        ResultHoldBypass(valid = tlb_valid_tmp(i), data = fromITLB(i).bits.excp(0).af.instr)))
286cb6e5d3cSssszwic  val tlbExcp       = VecInit((0 until PortNumber).map(i => tlbExcpAF(i) || tlbExcpPF(i)))
2871d8f4dcbSJay
288cb6e5d3cSssszwic  val s1_tlb_valid = VecInit((0 until PortNumber).map(i => ValidHoldBypass(tlb_valid_tmp(i), s1_fire)))
289cb6e5d3cSssszwic  val tlbRespAllValid = s1_tlb_valid(0) && (!s1_double_line || s1_double_line && s1_tlb_valid(1))
2902a3050c2SJay
2911d8f4dcbSJay
292d2b20d1aSTang Haojin  def numOfStage = 3
293d2b20d1aSTang Haojin  val itlbMissStage = RegInit(VecInit(Seq.fill(numOfStage - 1)(0.B)))
294d2b20d1aSTang Haojin  itlbMissStage(0) := !tlbRespAllValid
295d2b20d1aSTang Haojin  for (i <- 1 until numOfStage - 1) {
296d2b20d1aSTang Haojin    itlbMissStage(i) := itlbMissStage(i - 1)
297d2b20d1aSTang Haojin  }
298d2b20d1aSTang Haojin
29958dbdfc2SJay  /** s1 hit check/tag compare */
3001d8f4dcbSJay  val s1_req_paddr              = tlbRespPAddr
3011d8f4dcbSJay  val s1_req_ptags              = VecInit(s1_req_paddr.map(get_phy_tag(_)))
3021d8f4dcbSJay
303ccfc2e22SJay  val s1_meta_ptags              = ResultHoldBypass(data = metaResp.tags, valid = RegNext(s0_fire))
30460672d5eSguohongyu  val s1_meta_valids             = ResultHoldBypass(data = metaResp.entryValid, valid = RegNext(s0_fire))
30558dbdfc2SJay  val s1_meta_errors             = ResultHoldBypass(data = metaResp.errors, valid = RegNext(s0_fire))
30658dbdfc2SJay
307ccfc2e22SJay  val s1_data_cacheline          = ResultHoldBypass(data = dataResp.datas, valid = RegNext(s0_fire))
30879b191f7SJay  val s1_data_errorBits          = ResultHoldBypass(data = dataResp.codes, valid = RegNext(s0_fire))
3091d8f4dcbSJay
3101d8f4dcbSJay  val s1_tag_eq_vec        = VecInit((0 until PortNumber).map( p => VecInit((0 until nWays).map( w =>  s1_meta_ptags(p)(w) ===  s1_req_ptags(p) ))))
31160672d5eSguohongyu  val s1_tag_match_vec     = VecInit((0 until PortNumber).map( k => VecInit(s1_tag_eq_vec(k).zipWithIndex.map{ case(way_tag_eq, w) => way_tag_eq && s1_meta_valids(k)(w) /*s1_meta_cohs(k)(w).isValid()*/})))
3121d8f4dcbSJay  val s1_tag_match         = VecInit(s1_tag_match_vec.map(vector => ParallelOR(vector)))
3131d8f4dcbSJay
314f1fe8698SLemover  val s1_port_hit          = VecInit(Seq(s1_tag_match(0) && s1_valid  && !tlbExcp(0),  s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) ))
315f1fe8698SLemover  val s1_bank_miss         = VecInit(Seq(!s1_tag_match(0) && s1_valid && !tlbExcp(0), !s1_tag_match(1) && s1_valid && s1_double_line && !tlbExcp(1) ))
3161d8f4dcbSJay  val s1_hit               = (s1_port_hit(0) && s1_port_hit(1)) || (!s1_double_line && s1_port_hit(0))
3171d8f4dcbSJay
3181d8f4dcbSJay  /** choose victim cacheline */
3195b0cc873Sguohongyu  val replacers       = Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer,nWays,nSets/PortNumber))
3205b0cc873Sguohongyu  val s1_victim_oh    = ResultHoldBypass(data = VecInit(replacers.zipWithIndex.map{case (replacer, i) => UIntToOH(replacer.way(s1_req_vsetIdx(i)(highestIdxBit, 1)))}), valid = RegNext(s0_fire))
3211d8f4dcbSJay
3221d8f4dcbSJay
323cb6e5d3cSssszwic  // when(s1_fire){
324cb6e5d3cSssszwic  //   // when (!(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line))) {
325cb6e5d3cSssszwic  //   //   printf("Multiple hit in main pipe\n")
326cb6e5d3cSssszwic  //   // }
327cb6e5d3cSssszwic  //   assert(PopCount(s1_tag_match_vec(0)) <= 1.U && (PopCount(s1_tag_match_vec(1)) <= 1.U || !s1_double_line),
328cb6e5d3cSssszwic  //     "Multiple hit in main pipe, port0:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x port1:is=%d,ptag=0x%x,vidx=0x%x,vaddr=0x%x ",
329cb6e5d3cSssszwic  //     PopCount(s1_tag_match_vec(0)) > 1.U,s1_req_ptags(0), get_idx(s1_req_vaddr(0)), s1_req_vaddr(0),
330cb6e5d3cSssszwic  //     PopCount(s1_tag_match_vec(1)) > 1.U && s1_double_line, s1_req_ptags(1), get_idx(s1_req_vaddr(1)), s1_req_vaddr(1))
331f304ee97Sguohongyu  // }
3321d8f4dcbSJay
3331d8f4dcbSJay  ((replacers zip touch_sets) zip touch_ways).map{case ((r, s),w) => r.access(s,w)}
334cb6e5d3cSssszwic  IPFReplacer.waymask := UIntToOH(replacers(0).way(IPFReplacer.vsetIdx))
3351d8f4dcbSJay
336cb6e5d3cSssszwic  /** check ipf, get result at the same cycle */
337b1ded4e8Sguohongyu  (0 until PortNumber).foreach { i =>
338cb6e5d3cSssszwic    toIPF(i).valid      := tlb_valid_tmp(i)
339b1ded4e8Sguohongyu    toIPF(i).bits.paddr := s1_req_paddr(i)
340b1ded4e8Sguohongyu  }
341cb6e5d3cSssszwic  val s1_ipf_hit        = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromIPF(i).ipf_hit))
342cb6e5d3cSssszwic  val s1_ipf_hit_latch  = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_ipf_hit(i), release = s1_fire, flush = false.B)))
343cb6e5d3cSssszwic  val s1_ipf_data       = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromIPF(i).cacheline, valid = s1_ipf_hit(i))))
344b1ded4e8Sguohongyu
345b1ded4e8Sguohongyu  /** check in PIQ, if hit, wait until prefetch port hit */
346cb6e5d3cSssszwic  (0 until PortNumber).foreach { i =>
347cb6e5d3cSssszwic    toPIQ(i).valid      := tlb_valid_tmp(i)
348cb6e5d3cSssszwic    toPIQ(i).bits.paddr := s1_req_paddr(i)
349b1ded4e8Sguohongyu  }
350cb6e5d3cSssszwic  val s1_piq_hit        = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit))
351cb6e5d3cSssszwic  val s1_piq_hit_latch  = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = s1_piq_hit(i), release = s1_fire, flush = false.B)))
352cb6e5d3cSssszwic  val wait_piq          = VecInit((0 until PortNumber).map(i => toIPF(i).valid && fromPIQ(i).piq_hit && !fromPIQ(i).data_valid))
353cb6e5d3cSssszwic  val wait_piq_latch    = VecInit((0 until PortNumber).map(i => holdReleaseLatch(valid = wait_piq(i), release = s1_fire || fromPIQ(i).data_valid, flush = false.B)))
354cb6e5d3cSssszwic  val s1_piq_data       = VecInit((0 until PortNumber).map(i => ResultHoldBypass(data = fromPIQ(i).cacheline, valid = (s1_piq_hit(i) || wait_piq_latch(i)) && fromPIQ(i).data_valid)))
355b1ded4e8Sguohongyu
356cb6e5d3cSssszwic  val s1_wait           = (0 until PortNumber).map(i => wait_piq_latch(i) && !fromPIQ(i).data_valid).reduce(_||_)
357b1ded4e8Sguohongyu
358cb6e5d3cSssszwic  val s1_prefetch_hit = VecInit((0 until PortNumber).map(i => s1_ipf_hit_latch(i) || s1_piq_hit_latch(i)))
359cb6e5d3cSssszwic  val s1_prefetch_hit_data = VecInit((0 until PortNumber).map(i => Mux(s1_ipf_hit_latch(i), s1_ipf_data(i), s1_piq_data(i))))
360cb6e5d3cSssszwic
361cb6e5d3cSssszwic  s1_ready := s2_ready && tlbRespAllValid && !s1_wait || !s1_valid
362cb6e5d3cSssszwic  s1_fire  := s1_valid && tlbRespAllValid && s2_ready && !s1_wait
363b1ded4e8Sguohongyu
364ebfdba16Sguohongyu  if (env.EnableDifftest) {
365afa866b1Sguohongyu    (0 until PortNumber).foreach { i =>
366a0c65233SYinan Xu      val diffPIQ = DifftestModule(new DiffRefillEvent, dontCare = true)
3677d45a146SYinan Xu      diffPIQ.coreid := io.hartId
3687d45a146SYinan Xu      diffPIQ.index := (i + 7).U
3697d45a146SYinan Xu      if (i == 0) diffPIQ.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_piq_hit_latch(i) && !tlbExcp(0)
3707d45a146SYinan Xu      else diffPIQ.valid := s1_fire && !s1_port_hit(i) && !s1_ipf_hit_latch(i) && s1_piq_hit_latch(i) && s1_double_line && !tlbExcp(0) && !tlbExcp(1)
3717d45a146SYinan Xu      diffPIQ.addr := s1_req_paddr(i)
3727d45a146SYinan Xu      diffPIQ.data := s1_piq_data(i).asTypeOf(diffPIQ.data)
373935edac4STang Haojin      diffPIQ.idtfr := DontCare
374afa866b1Sguohongyu    }
375ebfdba16Sguohongyu  }
376afa866b1Sguohongyu
37758dbdfc2SJay  /** <PERF> replace victim way number */
37858dbdfc2SJay
3791d8f4dcbSJay  (0 until nWays).map{ w =>
3801d8f4dcbSJay    XSPerfAccumulate("line_0_hit_way_" + Integer.toString(w, 10),  s1_fire && s1_port_hit(0) && OHToUInt(s1_tag_match_vec(0))  === w.U)
3811d8f4dcbSJay  }
3821d8f4dcbSJay
3831d8f4dcbSJay  (0 until nWays).map{ w =>
3841d8f4dcbSJay    XSPerfAccumulate("line_0_victim_way_" + Integer.toString(w, 10),  s1_fire && !s1_port_hit(0) && OHToUInt(s1_victim_oh(0))  === w.U)
3851d8f4dcbSJay  }
3861d8f4dcbSJay
3871d8f4dcbSJay  (0 until nWays).map{ w =>
3881d8f4dcbSJay    XSPerfAccumulate("line_1_hit_way_" + Integer.toString(w, 10),  s1_fire && s1_double_line && s1_port_hit(1) && OHToUInt(s1_tag_match_vec(1))  === w.U)
3891d8f4dcbSJay  }
3901d8f4dcbSJay
3911d8f4dcbSJay  (0 until nWays).map{ w =>
3921d8f4dcbSJay    XSPerfAccumulate("line_1_victim_way_" + Integer.toString(w, 10),  s1_fire && s1_double_line && !s1_port_hit(1) && OHToUInt(s1_victim_oh(1))  === w.U)
3931d8f4dcbSJay  }
3941d8f4dcbSJay
395b1ded4e8Sguohongyu  XSPerfAccumulate("mainPipe_stage1_block_by_piq_cycles", s1_valid && s1_wait)
396b1ded4e8Sguohongyu
3972a3050c2SJay  /**
3982a3050c2SJay    ******************************************************************************
39958dbdfc2SJay    * ICache Stage 2
40058dbdfc2SJay    * - send request to MSHR if ICache miss
40158dbdfc2SJay    * - generate secondary miss status/data registers
40258dbdfc2SJay    * - response to IFU
4032a3050c2SJay    ******************************************************************************
4042a3050c2SJay    */
40558dbdfc2SJay
40658dbdfc2SJay  /** s2 control */
4071d8f4dcbSJay  val s2_fetch_finish = Wire(Bool())
4081d8f4dcbSJay
409f1fe8698SLemover  val s2_valid          = generatePipeControl(lastFire = s1_fire, thisFire = s2_fire, thisFlush = false.B, lastFlush = false.B)
4101d8f4dcbSJay  val s2_miss_available = Wire(Bool())
4111d8f4dcbSJay
4121d8f4dcbSJay  s2_ready      := (s2_valid && s2_fetch_finish && !io.respStall) || (!s2_valid && s2_miss_available)
4131d8f4dcbSJay  s2_fire       := s2_valid && s2_fetch_finish && !io.respStall
4141d8f4dcbSJay
41558dbdfc2SJay  /** s2 data */
416cb6e5d3cSssszwic  // val mmio = fromPMP.map(port => port.mmio) // TODO: handle it
417005e809bSJiuyang Liu  val (s2_req_paddr , s2_req_vaddr) = (RegEnable(s1_req_paddr, s1_fire), RegEnable(s1_req_vaddr, s1_fire))
418005e809bSJiuyang Liu  val s2_req_vsetIdx          = RegEnable(s1_req_vsetIdx,       s1_fire)
419005e809bSJiuyang Liu  val s2_req_ptags            = RegEnable(s1_req_ptags,         s1_fire)
420005e809bSJiuyang Liu  val s2_only_first           = RegEnable(s1_only_first,        s1_fire)
421005e809bSJiuyang Liu  val s2_double_line          = RegEnable(s1_double_line,       s1_fire)
422005e809bSJiuyang Liu  val s2_hit                  = RegEnable(s1_hit   ,            s1_fire)
423005e809bSJiuyang Liu  val s2_port_hit             = RegEnable(s1_port_hit,          s1_fire)
424005e809bSJiuyang Liu  val s2_bank_miss            = RegEnable(s1_bank_miss,         s1_fire)
425005e809bSJiuyang Liu  val s2_waymask              = RegEnable(s1_victim_oh,         s1_fire)
426005e809bSJiuyang Liu  val s2_tag_match_vec        = RegEnable(s1_tag_match_vec,     s1_fire)
427b1ded4e8Sguohongyu  val s2_prefetch_hit         = RegEnable(s1_prefetch_hit,      s1_fire)
428b1ded4e8Sguohongyu  val s2_prefetch_hit_data    = RegEnable(s1_prefetch_hit_data, s1_fire)
429afa866b1Sguohongyu  val s2_prefetch_hit_in_ipf  = RegEnable(s1_ipf_hit_latch,     s1_fire)
430cb6e5d3cSssszwic  val s2_prefetch_hit_in_piq  = RegEnable(s1_piq_hit_latch,     s1_fire)
4311d8f4dcbSJay
432d2b20d1aSTang Haojin  val icacheMissStage = RegInit(VecInit(Seq.fill(numOfStage - 2)(0.B)))
433d2b20d1aSTang Haojin  icacheMissStage(0) := !s2_hit
434d2b20d1aSTang Haojin
435*58c354d0Sssszwic  /** send req info of s1 and s2 to IPrefetchPipe for filter request */
436*58c354d0Sssszwic  toIPrefetch.s1Info(0).paddr  := s1_req_paddr(0)
437*58c354d0Sssszwic  toIPrefetch.s1Info(0).valid  := s1_valid
438*58c354d0Sssszwic  toIPrefetch.s1Info(1).paddr  := s1_req_paddr(1)
439*58c354d0Sssszwic  toIPrefetch.s1Info(1).valid  := s1_valid && s1_double_line
440*58c354d0Sssszwic  toIPrefetch.s2Info(0).paddr  := s2_req_paddr(0)
441*58c354d0Sssszwic  toIPrefetch.s2Info(0).valid  := s2_valid
442*58c354d0Sssszwic  toIPrefetch.s2Info(1).paddr  := s2_req_paddr(1)
443*58c354d0Sssszwic  toIPrefetch.s2Info(1).valid  := s2_valid && s2_double_line
444*58c354d0Sssszwic
445f1fe8698SLemover  assert(RegNext(!s2_valid || s2_req_paddr(0)(11,0) === s2_req_vaddr(0)(11,0), true.B))
446f1fe8698SLemover
44758dbdfc2SJay  /** status imply that s2 is a secondary miss (no need to resend miss request) */
4481d8f4dcbSJay  val sec_meet_vec = Wire(Vec(2, Bool()))
449b1ded4e8Sguohongyu  val s2_fixed_hit_vec = VecInit((0 until 2).map(i => s2_port_hit(i) || s2_prefetch_hit(i) || sec_meet_vec(i)))
4501d8f4dcbSJay  val s2_fixed_hit = (s2_valid && s2_fixed_hit_vec(0) && s2_fixed_hit_vec(1) && s2_double_line) || (s2_valid && s2_fixed_hit_vec(0) && !s2_double_line)
4511d8f4dcbSJay
452005e809bSJiuyang Liu  val s2_meta_errors    = RegEnable(s1_meta_errors,    s1_fire)
453005e809bSJiuyang Liu  val s2_data_errorBits = RegEnable(s1_data_errorBits, s1_fire)
454005e809bSJiuyang Liu  val s2_data_cacheline = RegEnable(s1_data_cacheline, s1_fire)
45579b191f7SJay
45679b191f7SJay  val s2_data_errors    = Wire(Vec(PortNumber,Vec(nWays, Bool())))
45779b191f7SJay
45879b191f7SJay  (0 until PortNumber).map{ i =>
45979b191f7SJay    val read_datas = s2_data_cacheline(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeUnit.W))))
46079b191f7SJay    val read_codes = s2_data_errorBits(i).asTypeOf(Vec(nWays,Vec(dataCodeUnitNum, UInt(dataCodeBits.W))))
46179b191f7SJay    val data_full_wayBits = VecInit((0 until nWays).map( w =>
46279b191f7SJay                                  VecInit((0 until dataCodeUnitNum).map(u =>
46379b191f7SJay                                        Cat(read_codes(w)(u), read_datas(w)(u))))))
46479b191f7SJay    val data_error_wayBits = VecInit((0 until nWays).map( w =>
46579b191f7SJay                                  VecInit((0 until dataCodeUnitNum).map(u =>
46679b191f7SJay                                       cacheParams.dataCode.decode(data_full_wayBits(w)(u)).error ))))
46779b191f7SJay    if(i == 0){
46879b191f7SJay      (0 until nWays).map{ w =>
46979b191f7SJay        s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(data_error_wayBits(w)).reduce(_||_)
47079b191f7SJay      }
47179b191f7SJay    } else {
47279b191f7SJay      (0 until nWays).map{ w =>
47379b191f7SJay        s2_data_errors(i)(w) := RegNext(RegNext(s1_fire)) && RegNext(RegNext(s1_double_line)) && RegNext(data_error_wayBits(w)).reduce(_||_)
47479b191f7SJay      }
47579b191f7SJay    }
47679b191f7SJay  }
47779b191f7SJay
47879b191f7SJay  val s2_parity_meta_error  = VecInit((0 until PortNumber).map(i => s2_meta_errors(i).reduce(_||_) && io.csr_parity_enable))
47979b191f7SJay  val s2_parity_data_error  = VecInit((0 until PortNumber).map(i => s2_data_errors(i).reduce(_||_) && io.csr_parity_enable))
48079b191f7SJay  val s2_parity_error       = VecInit((0 until PortNumber).map(i => RegNext(s2_parity_meta_error(i)) || s2_parity_data_error(i)))
48179b191f7SJay
48279b191f7SJay  for(i <- 0 until PortNumber){
483e8e4462cSJay    io.errors(i).valid            := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire)))
484e8e4462cSJay    io.errors(i).report_to_beu    := RegNext(s2_parity_error(i) && RegNext(RegNext(s1_fire)))
48579b191f7SJay    io.errors(i).paddr            := RegNext(RegNext(s2_req_paddr(i)))
48679b191f7SJay    io.errors(i).source           := DontCare
48779b191f7SJay    io.errors(i).source.tag       := RegNext(RegNext(s2_parity_meta_error(i)))
48879b191f7SJay    io.errors(i).source.data      := RegNext(s2_parity_data_error(i))
48979b191f7SJay    io.errors(i).source.l2        := false.B
49079b191f7SJay    io.errors(i).opType           := DontCare
49179b191f7SJay    io.errors(i).opType.fetch     := true.B
49279b191f7SJay  }
493e8e4462cSJay  XSError(s2_parity_error.reduce(_||_) && RegNext(RegNext(s1_fire)), "ICache has parity error in MainPaipe!")
49479b191f7SJay
49579b191f7SJay
4962a25dbb4SJay  /** exception and pmp logic **/
497cb6e5d3cSssszwic  val s2_tlb_valid = VecInit((0 until PortNumber).map(i => ValidHold(s1_tlb_valid(i) && s1_fire, s2_fire, false.B)))
498cb6e5d3cSssszwic  val pmpExcpAF = VecInit(Seq(fromPMP(0).instr && s2_tlb_valid(0), fromPMP(1).instr && s2_double_line && s2_tlb_valid(1)))
499cb6e5d3cSssszwic  // exception information and mmio
500227f2b93SJenius  // short delay exception signal
501cb6e5d3cSssszwic  val s2_except_tlb_pf  = RegEnable(tlbExcpPF, s1_fire)
502227f2b93SJenius  val s2_except_tlb_af  = RegEnable(tlbExcpAF, s1_fire)
503227f2b93SJenius  // long delay exception signal
504227f2b93SJenius  val s2_except_pmp_af    =  DataHoldBypass(pmpExcpAF, RegNext(s1_fire))
505227f2b93SJenius
506cb6e5d3cSssszwic  val s2_except     = VecInit(Seq(s2_except_tlb_pf(0) || s2_except_tlb_af(0), s2_double_line && (s2_except_tlb_pf(1) || s2_except_tlb_af(1))))
507cb6e5d3cSssszwic  val s2_has_except = s2_valid && s2_except.reduce(_||_)
508935edac4STang Haojin  val s2_mmio       = s2_valid && DataHoldBypass(io.pmp(0).resp.mmio && !s2_except(0) && !s2_except_pmp_af(0), RegNext(s1_fire)).asBool
509cb6e5d3cSssszwic  // pmp port
5101d8f4dcbSJay  io.pmp.zipWithIndex.map { case (p, i) =>
511de7689fcSJay    p.req.valid := s2_valid && !missSwitchBit
5121d8f4dcbSJay    p.req.bits.addr := s2_req_paddr(i)
5131d8f4dcbSJay    p.req.bits.size := 3.U // TODO
5141d8f4dcbSJay    p.req.bits.cmd := TlbCmd.exec
5151d8f4dcbSJay  }
5161d8f4dcbSJay
5171d8f4dcbSJay  /*** cacheline miss logic ***/
518227f2b93SJenius  val wait_idle :: wait_queue_ready :: wait_send_req  :: wait_two_resp :: wait_0_resp :: wait_1_resp :: wait_one_resp ::wait_finish :: wait_pmp_except :: Nil = Enum(9)
5191d8f4dcbSJay  val wait_state = RegInit(wait_idle)
5201d8f4dcbSJay
521935edac4STang Haojin//  val port_miss_fix  = VecInit(Seq(fromMSHR(0).fire && !s2_port_hit(0),   fromMSHR(1).fire && s2_double_line && !s2_port_hit(1) ))
5221d8f4dcbSJay
52358dbdfc2SJay  // secondary miss record registers
5242a3050c2SJay  class MissSlot(implicit p: Parameters) extends  ICacheBundle {
5251d8f4dcbSJay    val m_vSetIdx   = UInt(idxBits.W)
5261d8f4dcbSJay    val m_pTag      = UInt(tagBits.W)
5271d8f4dcbSJay    val m_data      = UInt(blockBits.W)
52858dbdfc2SJay    val m_corrupt   = Bool()
5291d8f4dcbSJay  }
5301d8f4dcbSJay
5311d8f4dcbSJay  val missSlot    = Seq.fill(2)(RegInit(0.U.asTypeOf(new MissSlot)))
5321d8f4dcbSJay  val m_invalid :: m_valid :: m_refilled :: m_flushed :: m_wait_sec_miss :: m_check_final ::Nil = Enum(6)
5331d8f4dcbSJay  val missStateQueue = RegInit(VecInit(Seq.fill(2)(m_invalid)) )
5341d8f4dcbSJay  val reservedRefillData = Wire(Vec(2, UInt(blockBits.W)))
5351d8f4dcbSJay
5361d8f4dcbSJay  s2_miss_available :=  VecInit(missStateQueue.map(entry => entry === m_invalid  || entry === m_wait_sec_miss)).reduce(_&&_)
5371d8f4dcbSJay
538cb6e5d3cSssszwic  // check miss slot
5391d8f4dcbSJay  val fix_sec_miss    = Wire(Vec(4, Bool()))
5401d8f4dcbSJay  val sec_meet_0_miss = fix_sec_miss(0) || fix_sec_miss(2)
5411d8f4dcbSJay  val sec_meet_1_miss = fix_sec_miss(1) || fix_sec_miss(3)
5421d8f4dcbSJay  sec_meet_vec := VecInit(Seq(sec_meet_0_miss, sec_meet_1_miss))
5431d8f4dcbSJay
5442a3050c2SJay  /*** miss/hit pattern: <Control Signal> only raise at the first cycle of s2_valid ***/
545b1ded4e8Sguohongyu  val cacheline_0_hit  = (s2_port_hit(0) || s2_prefetch_hit(0) || sec_meet_0_miss)
546b1ded4e8Sguohongyu  val cacheline_0_miss = !s2_port_hit(0) && !s2_prefetch_hit(0) && !sec_meet_0_miss
5471d8f4dcbSJay
548b1ded4e8Sguohongyu  val cacheline_1_hit  = (s2_port_hit(1) || s2_prefetch_hit(1) || sec_meet_1_miss)
549b1ded4e8Sguohongyu  val cacheline_1_miss = !s2_port_hit(1) && !s2_prefetch_hit(1) && !sec_meet_1_miss
55042b952e2SJay
55142b952e2SJay  val only_0_miss      = RegNext(s1_fire) && cacheline_0_miss && !s2_double_line && !s2_has_except && !s2_mmio
55242b952e2SJay  val only_0_hit       = RegNext(s1_fire) && cacheline_0_hit  && !s2_double_line && !s2_mmio
55342b952e2SJay  val hit_0_hit_1      = RegNext(s1_fire) && cacheline_0_hit  && cacheline_1_hit  && s2_double_line && !s2_mmio
55442b952e2SJay  val hit_0_miss_1     = RegNext(s1_fire) && cacheline_0_hit  && cacheline_1_miss && s2_double_line  && !s2_has_except && !s2_mmio
55542b952e2SJay  val miss_0_hit_1     = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_hit && s2_double_line  && !s2_has_except && !s2_mmio
55642b952e2SJay  val miss_0_miss_1    = RegNext(s1_fire) && cacheline_0_miss && cacheline_1_miss && s2_double_line  && !s2_has_except && !s2_mmio
55742b952e2SJay
55842b952e2SJay  val hit_0_except_1   = RegNext(s1_fire) && s2_double_line &&  !s2_except(0) && s2_except(1)  &&  cacheline_0_hit
55942b952e2SJay  val miss_0_except_1  = RegNext(s1_fire) && s2_double_line &&  !s2_except(0) && s2_except(1)  &&  cacheline_0_miss
5601d8f4dcbSJay  val except_0         = RegNext(s1_fire) && s2_except(0)
5611d8f4dcbSJay
5622a3050c2SJay  /*** miss/hit pattern latch: <Control Signal> latch the miss/hit patter if pipeline stop ***/
5631d8f4dcbSJay  val only_0_miss_latch      = holdReleaseLatch(valid = only_0_miss,     release = s2_fire,  flush = false.B)
5641d8f4dcbSJay  val only_0_hit_latch       = holdReleaseLatch(valid = only_0_hit,      release = s2_fire,  flush = false.B)
5651d8f4dcbSJay  val hit_0_hit_1_latch      = holdReleaseLatch(valid = hit_0_hit_1,     release = s2_fire,  flush = false.B)
566cb6e5d3cSssszwic  val hit_0_miss_1_latch     = holdReleaseLatch(valid = hit_0_miss_1,    release = s2_fire,  flush = false.B)
567cb6e5d3cSssszwic  val miss_0_hit_1_latch     = holdReleaseLatch(valid = miss_0_hit_1,    release = s2_fire,  flush = false.B)
568cb6e5d3cSssszwic  val miss_0_miss_1_latch    = holdReleaseLatch(valid = miss_0_miss_1,   release = s2_fire,  flush = false.B)
5691d8f4dcbSJay
570cb6e5d3cSssszwic  val hit_0_except_1_latch   = holdReleaseLatch(valid = hit_0_except_1,  release = s2_fire,  flush = false.B)
571cb6e5d3cSssszwic  val miss_0_except_1_latch  = holdReleaseLatch(valid = miss_0_except_1, release = s2_fire,  flush = false.B)
572cb6e5d3cSssszwic  val except_0_latch         = holdReleaseLatch(valid = except_0,        release = s2_fire,  flush = false.B)
5731d8f4dcbSJay
5741c746d3aScui fliter  /*** secondary miss judgment ***/
5751d8f4dcbSJay  def waitSecondComeIn(missState: UInt): Bool = (missState === m_wait_sec_miss)
5761d8f4dcbSJay
5771d8f4dcbSJay  def getMissSituat(slotNum : Int, missNum : Int ) :Bool =  {
578227f2b93SJenius    RegNext(s1_fire) &&
579227f2b93SJenius    RegNext(missSlot(slotNum).m_vSetIdx === s1_req_vsetIdx(missNum)) &&
580227f2b93SJenius    RegNext(missSlot(slotNum).m_pTag  === s1_req_ptags(missNum)) &&
581b1ded4e8Sguohongyu    !s2_port_hit(missNum) && !s2_prefetch_hit(missNum) &&
582227f2b93SJenius    waitSecondComeIn(missStateQueue(slotNum))
5831d8f4dcbSJay  }
5841d8f4dcbSJay
585cb6e5d3cSssszwic  /*** compare new req and last req saved in miss slot ***/
5861d8f4dcbSJay  val miss_0_s2_0 = getMissSituat(slotNum = 0, missNum = 0)
5871d8f4dcbSJay  val miss_0_s2_1 = getMissSituat(slotNum = 0, missNum = 1)
5881d8f4dcbSJay  val miss_1_s2_0 = getMissSituat(slotNum = 1, missNum = 0)
5891d8f4dcbSJay  val miss_1_s2_1 = getMissSituat(slotNum = 1, missNum = 1)
5901d8f4dcbSJay
5911d8f4dcbSJay  val miss_0_s2_0_latch = holdReleaseLatch(valid = miss_0_s2_0,  release = s2_fire,  flush = false.B)
5921d8f4dcbSJay  val miss_0_s2_1_latch = holdReleaseLatch(valid = miss_0_s2_1,  release = s2_fire,  flush = false.B)
5931d8f4dcbSJay  val miss_1_s2_0_latch = holdReleaseLatch(valid = miss_1_s2_0,  release = s2_fire,  flush = false.B)
5941d8f4dcbSJay  val miss_1_s2_1_latch = holdReleaseLatch(valid = miss_1_s2_1,  release = s2_fire,  flush = false.B)
5951d8f4dcbSJay
5961d8f4dcbSJay  val slot_0_solve = fix_sec_miss(0) || fix_sec_miss(1)
5971d8f4dcbSJay  val slot_1_solve = fix_sec_miss(2) || fix_sec_miss(3)
5981d8f4dcbSJay  val slot_slove   = VecInit(Seq(slot_0_solve, slot_1_solve))
5991d8f4dcbSJay  fix_sec_miss   := VecInit(Seq(miss_0_s2_0_latch, miss_0_s2_1_latch, miss_1_s2_0_latch, miss_1_s2_1_latch))
6001d8f4dcbSJay
60158dbdfc2SJay  /*** reserved data for secondary miss ***/
6021d8f4dcbSJay  reservedRefillData(0) := DataHoldBypass(data = missSlot(0).m_data, valid = miss_0_s2_0 || miss_0_s2_1)
6031d8f4dcbSJay  reservedRefillData(1) := DataHoldBypass(data = missSlot(1).m_data, valid = miss_1_s2_0 || miss_1_s2_1)
6041d8f4dcbSJay
60558dbdfc2SJay  /*** miss state machine ***/
606a61aefd2SJenius
607a61aefd2SJenius  //deal with not-cache-hit pmp af
608a61aefd2SJenius  val only_pmp_af = Wire(Vec(2, Bool()))
609a61aefd2SJenius  only_pmp_af(0) := s2_except_pmp_af(0) && cacheline_0_miss && !s2_except(0) && s2_valid
610a61aefd2SJenius  only_pmp_af(1) := s2_except_pmp_af(1) && cacheline_1_miss && !s2_except(1) && s2_valid && s2_double_line
61158dbdfc2SJay
6121d8f4dcbSJay  switch(wait_state){
6131d8f4dcbSJay    is(wait_idle){
6144a9944cbSJenius      when(only_pmp_af(0) || only_pmp_af(1) || s2_mmio){
615227f2b93SJenius        //should not send req to MissUnit when there is an access exception in PMP
616227f2b93SJenius        //But to avoid using pmp exception in control signal (like s2_fire), should delay 1 cycle.
617227f2b93SJenius        //NOTE: pmp exception cache line also could hit in ICache, but the result is meaningless. Just give the exception signals.
618227f2b93SJenius        wait_state := wait_finish
619227f2b93SJenius      }.elsewhen(miss_0_except_1_latch){
6201d8f4dcbSJay        wait_state :=  Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle )
6211d8f4dcbSJay      }.elsewhen(only_0_miss_latch  || miss_0_hit_1_latch){
6221d8f4dcbSJay        wait_state :=  Mux(toMSHR(0).ready, wait_queue_ready ,wait_idle )
6231d8f4dcbSJay      }.elsewhen(hit_0_miss_1_latch){
6241d8f4dcbSJay        wait_state :=  Mux(toMSHR(1).ready, wait_queue_ready ,wait_idle )
6251d8f4dcbSJay      }.elsewhen(miss_0_miss_1_latch ){
6261d8f4dcbSJay        wait_state := Mux(toMSHR(0).ready && toMSHR(1).ready, wait_queue_ready ,wait_idle)
6271d8f4dcbSJay      }
6281d8f4dcbSJay    }
6291d8f4dcbSJay
6301d8f4dcbSJay    is(wait_queue_ready){
6311d8f4dcbSJay      wait_state := wait_send_req
6321d8f4dcbSJay    }
6331d8f4dcbSJay
6341d8f4dcbSJay    is(wait_send_req) {
6351d8f4dcbSJay      when(miss_0_except_1_latch || only_0_miss_latch || hit_0_miss_1_latch || miss_0_hit_1_latch){
6361d8f4dcbSJay        wait_state :=  wait_one_resp
6371d8f4dcbSJay      }.elsewhen( miss_0_miss_1_latch ){
6381d8f4dcbSJay        wait_state := wait_two_resp
6391d8f4dcbSJay      }
6401d8f4dcbSJay    }
6411d8f4dcbSJay
6421d8f4dcbSJay    is(wait_one_resp) {
643935edac4STang Haojin      when( (miss_0_except_1_latch ||only_0_miss_latch || miss_0_hit_1_latch) && fromMSHR(0).fire){
6441d8f4dcbSJay        wait_state := wait_finish
645935edac4STang Haojin      }.elsewhen( hit_0_miss_1_latch && fromMSHR(1).fire){
6461d8f4dcbSJay        wait_state := wait_finish
6471d8f4dcbSJay      }
6481d8f4dcbSJay    }
6491d8f4dcbSJay
6501d8f4dcbSJay    is(wait_two_resp) {
651935edac4STang Haojin      when(fromMSHR(0).fire && fromMSHR(1).fire){
6521d8f4dcbSJay        wait_state := wait_finish
653935edac4STang Haojin      }.elsewhen( !fromMSHR(0).fire && fromMSHR(1).fire ){
6541d8f4dcbSJay        wait_state := wait_0_resp
655935edac4STang Haojin      }.elsewhen(fromMSHR(0).fire && !fromMSHR(1).fire){
6561d8f4dcbSJay        wait_state := wait_1_resp
6571d8f4dcbSJay      }
6581d8f4dcbSJay    }
6591d8f4dcbSJay
6601d8f4dcbSJay    is(wait_0_resp) {
661935edac4STang Haojin      when(fromMSHR(0).fire){
6621d8f4dcbSJay        wait_state := wait_finish
6631d8f4dcbSJay      }
6641d8f4dcbSJay    }
6651d8f4dcbSJay
6661d8f4dcbSJay    is(wait_1_resp) {
667935edac4STang Haojin      when(fromMSHR(1).fire){
6681d8f4dcbSJay        wait_state := wait_finish
6691d8f4dcbSJay      }
6701d8f4dcbSJay    }
6711d8f4dcbSJay
6722a25dbb4SJay    is(wait_finish) {when(s2_fire) {wait_state := wait_idle }
6731d8f4dcbSJay    }
6741d8f4dcbSJay  }
6751d8f4dcbSJay
6761d8f4dcbSJay
67758dbdfc2SJay  /*** send request to MissUnit ***/
67858dbdfc2SJay
6791d8f4dcbSJay  (0 until 2).map { i =>
6801d8f4dcbSJay    if(i == 1) toMSHR(i).valid   := (hit_0_miss_1_latch || miss_0_miss_1_latch) && wait_state === wait_queue_ready && !s2_mmio
6811d8f4dcbSJay        else     toMSHR(i).valid := (only_0_miss_latch || miss_0_hit_1_latch || miss_0_miss_1_latch || miss_0_except_1_latch) && wait_state === wait_queue_ready && !s2_mmio
6821d8f4dcbSJay    toMSHR(i).bits.paddr    := s2_req_paddr(i)
6831d8f4dcbSJay    toMSHR(i).bits.vaddr    := s2_req_vaddr(i)
6841d8f4dcbSJay    toMSHR(i).bits.waymask  := s2_waymask(i)
6851d8f4dcbSJay
6861d8f4dcbSJay
687935edac4STang Haojin    when(toMSHR(i).fire && missStateQueue(i) === m_invalid){
6881d8f4dcbSJay      missStateQueue(i)     := m_valid
6891d8f4dcbSJay      missSlot(i).m_vSetIdx := s2_req_vsetIdx(i)
6901d8f4dcbSJay      missSlot(i).m_pTag    := get_phy_tag(s2_req_paddr(i))
6911d8f4dcbSJay    }
6921d8f4dcbSJay
693935edac4STang Haojin    when(fromMSHR(i).fire && missStateQueue(i) === m_valid ){
6941d8f4dcbSJay      missStateQueue(i)         := m_refilled
6951d8f4dcbSJay      missSlot(i).m_data        := fromMSHR(i).bits.data
69658dbdfc2SJay      missSlot(i).m_corrupt     := fromMSHR(i).bits.corrupt
6971d8f4dcbSJay    }
6981d8f4dcbSJay
6991d8f4dcbSJay
7001d8f4dcbSJay    when(s2_fire && missStateQueue(i) === m_refilled){
7011d8f4dcbSJay      missStateQueue(i)     := m_wait_sec_miss
7021d8f4dcbSJay    }
7031d8f4dcbSJay
7042a3050c2SJay    /*** Only the first cycle to check whether meet the secondary miss ***/
7051d8f4dcbSJay    when(missStateQueue(i) === m_wait_sec_miss){
7062a3050c2SJay      /*** The seondary req has been fix by this slot and another also hit || the secondary req for other cacheline and hit ***/
7071d8f4dcbSJay      when((slot_slove(i) && s2_fire) || (!slot_slove(i) && s2_fire) ) {
7081d8f4dcbSJay        missStateQueue(i)     := m_invalid
7091d8f4dcbSJay      }
7102a3050c2SJay      /*** The seondary req has been fix by this slot but another miss/f3 not ready || the seondary req for other cacheline and miss ***/
7111d8f4dcbSJay      .elsewhen((slot_slove(i) && !s2_fire && s2_valid) ||  (s2_valid && !slot_slove(i) && !s2_fire) ){
7121d8f4dcbSJay        missStateQueue(i)     := m_check_final
7131d8f4dcbSJay      }
7141d8f4dcbSJay    }
7151d8f4dcbSJay
716935edac4STang Haojin    when(missStateQueue(i) === m_check_final && toMSHR(i).fire){
7171d8f4dcbSJay      missStateQueue(i)     :=  m_valid
7181d8f4dcbSJay      missSlot(i).m_vSetIdx := s2_req_vsetIdx(i)
7191d8f4dcbSJay      missSlot(i).m_pTag    := get_phy_tag(s2_req_paddr(i))
7201d8f4dcbSJay    }.elsewhen(missStateQueue(i) === m_check_final) {
7211d8f4dcbSJay      missStateQueue(i)     :=  m_invalid
7221d8f4dcbSJay    }
7231d8f4dcbSJay  }
7241d8f4dcbSJay
7257052722fSJay  when(toMSHR.map(_.valid).reduce(_||_)){
7267052722fSJay    missSwitchBit := true.B
7277052722fSJay  }.elsewhen(missSwitchBit && s2_fetch_finish){
7287052722fSJay    missSwitchBit := false.B
7297052722fSJay  }
7307052722fSJay
731974a902cSguohongyu  (0 until PortNumber).foreach{
732974a902cSguohongyu    i =>
733*58c354d0Sssszwic      toIPrefetch.missSlot(i).valid   := missStateQueue(i) =/= m_invalid
734*58c354d0Sssszwic      toIPrefetch.missSlot(i).vSetIdx := missSlot(i).m_vSetIdx
735*58c354d0Sssszwic      toIPrefetch.missSlot(i).ptag    := missSlot(i).m_pTag
736974a902cSguohongyu  }
737974a902cSguohongyu
738a8fabd82SJenius  val miss_all_fix       =  wait_state === wait_finish
739227f2b93SJenius
740227f2b93SJenius  s2_fetch_finish        := ((s2_valid && s2_fixed_hit) || miss_all_fix || hit_0_except_1_latch || except_0_latch)
7411d8f4dcbSJay
74258dbdfc2SJay  /** update replacement status register: 0 is hit access/ 1 is miss access */
7431d8f4dcbSJay  (touch_ways zip touch_sets).zipWithIndex.map{ case((t_w,t_s), i) =>
7445b0cc873Sguohongyu    t_s(0)         := s2_req_vsetIdx(i)(highestIdxBit, 1)
74561e1db30SJay    t_w(0).valid   := s2_valid && s2_port_hit(i)
74661e1db30SJay    t_w(0).bits    := OHToUInt(s2_tag_match_vec(i))
7471d8f4dcbSJay
7485b0cc873Sguohongyu    t_s(1)         := s2_req_vsetIdx(i)(highestIdxBit, 1)
7491d8f4dcbSJay    t_w(1).valid   := s2_valid && !s2_port_hit(i)
7501d8f4dcbSJay    t_w(1).bits    := OHToUInt(s2_waymask(i))
7511d8f4dcbSJay  }
7521d8f4dcbSJay
7533fbf8eafSJenius  //** use hit one-hot select data
754cb6e5d3cSssszwic  val s2_hit_datas    = VecInit(s2_data_cacheline.zipWithIndex.map { case(bank, i) =>
755cb6e5d3cSssszwic    val port_hit_data = Mux1H(s2_tag_match_vec(i).asUInt, bank)
7563fbf8eafSJenius    port_hit_data
7573fbf8eafSJenius  })
7583fbf8eafSJenius
759dc270d3bSJenius  val s2_register_datas       = Wire(Vec(2, UInt(blockBits.W)))
7601d8f4dcbSJay
761dc270d3bSJenius  s2_register_datas.zipWithIndex.map{case(bank,i) =>
762dc270d3bSJenius    // if(i == 0) bank := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data)))
763dc270d3bSJenius    // else    bank    := Mux(s2_port_hit(i), s2_hit_datas(i), Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data)))
764dc270d3bSJenius    if(i == 0) bank := Mux(miss_0_s2_0_latch,reservedRefillData(0), Mux(miss_1_s2_0_latch,reservedRefillData(1), missSlot(0).m_data))
765dc270d3bSJenius    else    bank    := Mux(miss_0_s2_1_latch,reservedRefillData(0), Mux(miss_1_s2_1_latch,reservedRefillData(1), missSlot(1).m_data))
7661d8f4dcbSJay  }
7671d8f4dcbSJay
76858dbdfc2SJay  /** response to IFU */
7691d8f4dcbSJay
7701d8f4dcbSJay  (0 until PortNumber).map{ i =>
7711d8f4dcbSJay    if(i ==0) toIFU(i).valid          := s2_fire
7721d8f4dcbSJay       else   toIFU(i).valid          := s2_fire && s2_double_line
773dc270d3bSJenius    //when select is high, use sramData. Otherwise, use registerData.
774dc270d3bSJenius    toIFU(i).bits.registerData  := s2_register_datas(i)
775b1ded4e8Sguohongyu    toIFU(i).bits.sramData  := Mux(s2_port_hit(i), s2_hit_datas(i), s2_prefetch_hit_data(i))
776b1ded4e8Sguohongyu    toIFU(i).bits.select    := s2_port_hit(i) || s2_prefetch_hit(i)
7771d8f4dcbSJay    toIFU(i).bits.paddr     := s2_req_paddr(i)
7781d8f4dcbSJay    toIFU(i).bits.vaddr     := s2_req_vaddr(i)
779cb6e5d3cSssszwic    toIFU(i).bits.tlbExcp.pageFault     := s2_except_tlb_pf(i)
780227f2b93SJenius    toIFU(i).bits.tlbExcp.accessFault   := s2_except_tlb_af(i) || missSlot(i).m_corrupt || s2_except_pmp_af(i)
781227f2b93SJenius    toIFU(i).bits.tlbExcp.mmio          := s2_mmio
7829ef181f4SWilliam Wang
7839ef181f4SWilliam Wang    when(RegNext(s2_fire && missSlot(i).m_corrupt)){
7849ef181f4SWilliam Wang      io.errors(i).valid            := true.B
7850f59c834SWilliam Wang      io.errors(i).report_to_beu    := false.B // l2 should have report that to bus error unit, no need to do it again
7860f59c834SWilliam Wang      io.errors(i).paddr            := RegNext(s2_req_paddr(i))
7879ef181f4SWilliam Wang      io.errors(i).source.tag       := false.B
7889ef181f4SWilliam Wang      io.errors(i).source.data      := false.B
7899ef181f4SWilliam Wang      io.errors(i).source.l2        := true.B
7909ef181f4SWilliam Wang    }
7911d8f4dcbSJay  }
792d2b20d1aSTang Haojin  io.fetch.topdownIcacheMiss := !s2_hit
793d2b20d1aSTang Haojin  io.fetch.topdownItlbMiss := itlbMissStage(0)
794d2b20d1aSTang Haojin
795b1ded4e8Sguohongyu  (0 until 2).map {i =>
796d4112e88Sguohongyu    XSPerfAccumulate("port_" + i + "_only_hit_in_ipf", !s2_port_hit(i) && s2_prefetch_hit(i) && s2_fire)
797b1ded4e8Sguohongyu  }
798b1ded4e8Sguohongyu
799a108d429SJay  io.perfInfo.only_0_hit      := only_0_hit_latch
8001d8f4dcbSJay  io.perfInfo.only_0_miss     := only_0_miss_latch
8011d8f4dcbSJay  io.perfInfo.hit_0_hit_1     := hit_0_hit_1_latch
8021d8f4dcbSJay  io.perfInfo.hit_0_miss_1    := hit_0_miss_1_latch
8031d8f4dcbSJay  io.perfInfo.miss_0_hit_1    := miss_0_hit_1_latch
8041d8f4dcbSJay  io.perfInfo.miss_0_miss_1   := miss_0_miss_1_latch
805a108d429SJay  io.perfInfo.hit_0_except_1  := hit_0_except_1_latch
806a108d429SJay  io.perfInfo.miss_0_except_1 := miss_0_except_1_latch
807a108d429SJay  io.perfInfo.except_0        := except_0_latch
8081d8f4dcbSJay  io.perfInfo.bank_hit(0)     := only_0_miss_latch  || hit_0_hit_1_latch || hit_0_miss_1_latch || hit_0_except_1_latch
8091d8f4dcbSJay  io.perfInfo.bank_hit(1)     := miss_0_hit_1_latch || hit_0_hit_1_latch
810a108d429SJay  io.perfInfo.hit             := hit_0_hit_1_latch || only_0_hit_latch || hit_0_except_1_latch || except_0_latch
81158dbdfc2SJay
81258dbdfc2SJay  /** <PERF> fetch bubble generated by icache miss*/
81358dbdfc2SJay
81400240ba6SJay  XSPerfAccumulate("icache_bubble_s2_miss",    s2_valid && !s2_fetch_finish )
81558dbdfc2SJay
816cb6e5d3cSssszwic  // TODO: this perf is wrong!
817eb163ef0SHaojin Tang  val tlb_miss_vec = VecInit((0 until PortNumber).map(i => toITLB(i).valid && s0_can_go && fromITLB(i).bits.miss))
818eb163ef0SHaojin Tang  val tlb_has_miss = tlb_miss_vec.reduce(_ || _)
819eb163ef0SHaojin Tang  XSPerfAccumulate("icache_bubble_s0_tlb_miss",    s0_valid && tlb_has_miss )
8205470b21eSguohongyu
821afa866b1Sguohongyu  if (env.EnableDifftest) {
822afa866b1Sguohongyu    val discards = (0 until PortNumber).map { i =>
823afa866b1Sguohongyu      val discard = toIFU(i).bits.tlbExcp.pageFault || toIFU(i).bits.tlbExcp.accessFault || toIFU(i).bits.tlbExcp.mmio
824afa866b1Sguohongyu      discard
825afa866b1Sguohongyu    }
826afa866b1Sguohongyu    (0 until PortNumber).map { i =>
827a0c65233SYinan Xu      val diffMainPipeOut = DifftestModule(new DiffRefillEvent, dontCare = true)
8287d45a146SYinan Xu      diffMainPipeOut.coreid := io.hartId
8297d45a146SYinan Xu      diffMainPipeOut.index := (4 + i).U
8307d45a146SYinan Xu      if (i == 0) diffMainPipeOut.valid := s2_fire && !discards(0)
8317d45a146SYinan Xu      else        diffMainPipeOut.valid := s2_fire && s2_double_line && !discards(0) && !discards(1)
8327d45a146SYinan Xu      diffMainPipeOut.addr := s2_req_paddr(i)
833afa866b1Sguohongyu      when (toIFU(i).bits.select.asBool) {
8347d45a146SYinan Xu        diffMainPipeOut.data := toIFU(i).bits.sramData.asTypeOf(diffMainPipeOut.data)
835afa866b1Sguohongyu      } .otherwise {
8367d45a146SYinan Xu        diffMainPipeOut.data := toIFU(i).bits.registerData.asTypeOf(diffMainPipeOut.data)
837afa866b1Sguohongyu      }
838afa866b1Sguohongyu      // idtfr: 1 -> data from icache 2 -> data from ipf 3 -> data from piq 4 -> data from missUnit
8397d45a146SYinan Xu      when (s2_port_hit(i)) { diffMainPipeOut.idtfr := 1.U }
840afa866b1Sguohongyu        .elsewhen(s2_prefetch_hit(i)) {
8417d45a146SYinan Xu          when (s2_prefetch_hit_in_ipf(i)) { diffMainPipeOut.idtfr := 2.U  }
8427d45a146SYinan Xu            .elsewhen(s2_prefetch_hit_in_piq(i)) { diffMainPipeOut.idtfr := 3.U }
843935edac4STang Haojin            .otherwise { diffMainPipeOut.idtfr := DontCare; XSWarn(true.B, "should not in this situation\n") }
844afa866b1Sguohongyu        }
8457d45a146SYinan Xu        .otherwise { diffMainPipeOut.idtfr := 4.U }
846afa866b1Sguohongyu      diffMainPipeOut
847afa866b1Sguohongyu    }
848afa866b1Sguohongyu  }
8491d8f4dcbSJay}
850